DİJİTAL DEVRELERLE ÇALIŞMAK

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "DİJİTAL DEVRELERLE ÇALIŞMAK"

Transkript

1 Bu bölümde dijital test aygıtlarının tanıtılması ve kullanımının açıklanması, dijital devre elemanlarının yerleştirilmesi, bağlantı iletkenlerinin çizilmesi, dijital devreye test aygıtlarının bağlanması ve dijital devrenin çalıştırılması konuları uygulamalı olarak açıklanacaktır. 1.Dijital Test Aygıtlarının Tanıtılması Ve Kullanılmasının Açıklanması 1. Pattern Jeneratörü VSM Pattern Jeneratörü; analog sinyal jeneratörünün dijitalidir. VSM Pattern Jeneratörünün 1KB a kadar hafıza desteği vardır ve 8 Bit liktir. Şekil 1. Pattern Jeneratörü Uygulama-1.1: ISIS programında pattern jeneratörünün kullanılması. 1. Virtual Instruments düğmesini kullanarak, kullanıcı kütüphanesinde isimleri listelenen VSM cihazları içerisinden pattern jeneratörü üzerine tıklayınız. 2. Tasarım alanına Pattern jeneratörünü yerleştirmek istediğiniz yere farenin sol tuşuyla bir kere tıklayınız. Pattern jeneratörünü tasarım alanına yerleştirmiş oldunuz. 3. Şema üzerinde pattern jeneratörü ile ilgili gerekli bağlantıları yapınız. 4. Play butonuna tıklayarak devrenizi çalıştırınız. 2. Lojik Analizör Dijital devrelerdeki lojik sinyallerin durumunu diyagram şeklinde gösterir. A1, A2, A8 uçları lojik devrede durumunu görmek istediğiniz noktalara bağlanır. Şekil 2. Lojik Analizör 1

2 Uygulama-1.2: ISIS programında lojik analizörün kullanılması. 1. Virtual Instruments düğmesini kullanarak, kullanıcı kütüphanesinde isimleri listelenen VSM ihazları içerisinden Logic Analyser üzerine tıklayınız. 2. Tasarım alanına Lojik Analizörü yerleştirmek istediğiniz yere farenin sol tuşuyla bir kere tıklayınız. Lojik Analizörü tasarım alanına yerleştirmiş oldunuz. 3. Şema üzerinde Lojik Analizör ile ilgili gerekli bağlantıları yapınız. 4. Play butonuna basarak simülasyonu başlatınız. 5. Sinyalleri görüntüleyebilmek için Trigger Mode düğmesine basarak Armed ledinin yanmasını sağlayınız. Sinyallerinizi daha iyi görüntüleyebilmek için Resulation ve Zoom düğmelerini ayarlayınız. 3.Lojik Frekans Sayıcı ( ounter Timer ) Lojik frekans sayıcı, LK girişine bağlanan hattaki lojik sinyalin değişim sayısını ölçer. Ölçtüğü değeri ekranında dijital olarak gösterir. Lojik frekans sayıcı üzerinde bulunan E girişi; Frekans sayıcımızın aktif etme pinidir. RST girişi ise sayıcımızı resetleme pinidir. Şekil 3. Lojik Frekans sayıcı Uygulama-1.3: ISIS programında lojik frekans sayıcının kullanılması.. 1. Virtual Instruments düğmesini kullanarak, kullanıcı kütüphanesinde isimleri listelenen VSM cihazları içerisinden ounter Timer üzerine tıklayınız. 2. Tasarım alanına ounter Timer ı yerleştirmek istediğiniz yere farenin sol tuşuyla bir kere tıklayınız. Lojik frekans sayıcıyı tasarım alanına yerleştirmiş oldunuz. 3. Şema üzerinde frekans sayıcı ile ilgili gerekli bağlantıları yapınız. 4. Play butonuna tıklayarak devrenizi çalıştırınız. 4. lock Üreteci Lojik devreler için istenilen frekansta ve genlikte kare dalga sinyal üretmek için kullanılır. lock üreteci üzerinde tek bir çıkış vardır ve bu çıkış kare dalga sinyalin uygulanacağı yere bağlanır. Şekil 4. lock Üreteci 2

3 Uygulama-1.4: ISIS programında clock üretecinin kullanılması. 1. Active kütüphanesinden lock üretecini Devices kutusuna çağırınız. 2. Device kutusunda yer alan lock üzerine tıklayınız. 3. Tasarım alanına lock üretecini yerleştirmek istediğiniz yere farenin sol tuşuyla bir kere tıklayınız. Üreteci tasarım alanına yerleştirmiş oldunuz. 4. Düzenleme modundayken üretecin frekansını ayarlayınız. 5. Şema üzerinde lock üretecini ile ilgili gerekli bağlantıları yapınız. 6. Play butonuna tıklayarak devrenizi çalıştırınız. 5. Virtual Terminal ( VTerm ) Virtual terminal, seri iletişim arabirimidir. Seri data üretebilir ve alabilir, aldığı datayı istenilen formatta gösterebilir. Bu datalar ASII kod formatına uygun biçimde olmalıdır. Virtual terminal üzerinde yer alan TXD pini üzerinden lojik sinyali seri olarak vermekte, RXD pinine uygulanan seri sinyali ise ASII kod yapısına göre karakter biçimine dönüştürmektedir. Şekil 5. VTerm Uygulama-1.5: ISIS programında Virtual Terminal in ( vterm ) kullanılması. 1. Virtual Instruments düğmesini kullanarak, kullanıcı kütüphanesinde isimleri listelenen VSM cihazları içerisinden Virtual Terminal üzerine tıklayınız. 2. Tasarım alanına Virtual Terminal ı yerleştirmek istediğiniz yere farenin sol tuşuyla bir kere tıklayınız. Virtual Terminal ı tasarım alanına yerleştirmiş oldunuz. 3. Şema üzerinde Virtual Terminal ile ilgili gerekli bağlantıları yapınız. 4. Play butonuna tıklayarak devrenizi çalıştırınız. 6. OMPİM ( Serial Port Model ) Bir P seri port ( UART) modelidir. P seri portunun sahip olduğu bütün özelliklere sahiptir ve fiziksel olarak simüle edilebilir. Bu companenette baund hızı, data biti, parity biti, stop biti ve OM numarası vb. gibi özellikler ayarlanabilir. 3

4 Şekil 6. OMPIM 7. Lojik Prob & Büyük Lojik Prob ( Logicprobe ) Bu eleman lojik devrelerde kullanılır. Bağlandığı noktanın lojik seviyesini gösterir. LGIPROBE ve LOGİPROBE ( BIG ) olmak üzere iki çeşidi vardır. Aralarında yalnızca boyut farkı vardır. Kullanım ve işlev bakımından aralarında fark yoktur. Şekil 7. Tasarım Alanına Çağrılan Lojik Prob Şekli. Şekil 8.Simülasyon Esnasında Lojik Prob Şekli ( Lojik 1ve Lojik 0 Konumunda ) 8. Lojik State ( LOGİSTATE ) Bu eleman lojik devrelerde kullanılır ve bağlandıkları noktaya lojik sinyal uygular. Farenin sol tuşuyla üzerine tıklanırsa konum değiştirir ve tekrar tıklayana kadar aynı konumda kalır. Şekil 9. Lojik State nin Aldığı İki Farklı Konum 9. Lojik Toogle ( LOGİTOGGLE ) LOGİSTATE ile aynıdır. Tek farkı; fare imleciyle üzerine sol tıklandığında lojik 1 seviyesine gelir sol tuş bırakılınca lojik 0 seviyesine geri döner. Şekil 10. LOGITOGGLE nin Aldığı İki Farklı Konum 4

5 Uygulama-1.6: Tasarım alanına dijital elemanların yerleştirilmesi. 1. ISIS elemanlar kütüphanesini açınız. 2. Libraries kısmından 74STD kütüphanesini bulunuz. Farenin sol tuşuyla bir kere tıklayınız. 74STD Kütüphanesi açılacaktır. 3. Açılan 74STD kütüphanesi içerisinden 7400, 7432 elemanlarını çağırınız. 4. Tekrar libraries kısmına dönünüz. Active kütüphanesinden, LOGIGSTATE ve LOGIPROBU (BIG) elemanlarını çağırınız. çağırınız. 5. Pick Devices penceresini kapatınız. 6. Devices bölümümden kütüphaneden çağırdığınız elemanları tasarım alanına Şekil 11 deki gibi yerleştiriniz. Şekil 11. Elemanların Tasarım Alanına Yerleştirilmesi 2. Bağlantı iletkenlerinin çizilmesi Uygulama-1.7: Tasarım alanında bulunan elemanlar arasında bağlantı iletkenlerinin çizilmesi. 1. Şekil 11 deki elemanlar arasında bağlantı oluşturmak için (omponent) düğmesini aktif hale getirin. 2. Fare imlecini bağlantı yapmak istediğiniz elemanın pini üzerine götürünüz. Göstergenin ucunda x işareti oluşunca bir kere sol tuşa tıklayınız ve bırakınız. 3. Bağlantı yapacağınız diğer elemanın pini üzerine gidiniz. Pin üzerinde x işareti oluşunca bir kere sol tıklayınız ve bırakınız. Böylece iki eleman arasında bağlantı oluşturmuş oldunuz. 4. Aynı yöntemi uygulayarak Şekil 13 deki gibi diğer elemanların bağlantılarını yapınız. 5. Play butonuna basınız ve devrenizi çalıştırınız. 6. Farenin sol tuşunu kullanarak LOGIGSTATE elemanların konumlarını lojik 1 ve lojik 0 olarak değiştiriniz. 7. Her değişimden sonra devrenizin lojik çıkışını gözlemleyiniz. Şekil 12. Bağlantı İletkenlerinin Çizilmesi 5

6 Uygulama-1.8: Bağlantı terminali uygulaması. 1. Kütüphaneden AND, NOT, OR, 74H86, LOGIGPROBE ve LOGISTATE elemanlarını çağırınız. 2. Gadgets araç çubuğunda bulunan (Inter-sheet) terminal araç düğmesine basınız ve malzeme kutusuna gelen terminal uçlarından DEFULT u seçiniz. 3. Şekil 13 de verilen devreyi kurunuz. ( terminal uçlarının devreye bağlantısı iki pinin birbirine bağlantısı gibidir. ) 4. ( Instant edit mode ) düzenleme moduna geçiniz. Tasarım alanında en üstteki Logigstate üzerine sol tıklayınız. Açılan düzenleme penceresinden omponent Reference yazısının yanındaki alana A yazınız. OK butonuna basarak yaptığınız işlemi onaylayınız. Böylece Logigstate yi A olarak adlandırınız. Aynı yöntemle diğer lojik girişleri B ve olarak adlandırınız. Şekil 13. Tam Çıkarıcı Devresi 5. Lojik probun üzerine sol tıklayınız. Açılan düzenleme penceresinde omponent Reference yazısının yanındaki alana BO, omponent Value yazısının yanındaki alana Borç Çıkışı yazınız. OK butonuna basarak yaptığınız işlemi onaylayınız. Aynı yöntemle diğer probun sembolünü D, değerini fark olarak isimlendiriniz. 6. Düzenleme modundayken ismi A olan logicstate çıkışına bağlı bulunan giriş terminalinin üzerine farenin sol tuşuyla tıklayınız. Açılan Edit Terminal Label penceresinde bulunan String kutusuna TA yazınız. konumunu Şekil 14 daki gibi düzenledikten sonra OK butonuna basarak pencereyi kapatınız. Böylece terminalinizi isimlendirmiş oldunuz. Aynı yöntemi kullanarak diğer terminalleri de isimlendiriniz. ( Aralarında bağlantı olan terminallere aynı isimleri vermelisiniz.) 7. Yine düzenleme modundayken TA isminin üzerine sol tıklayınız. Açılan Edit Terminal Label penceresi üzerinde bulunan Style butonuna sol tıklayınız. Açılan pencereden Fallow Global? seçeneğinin onayını kaldırınız. Height kutusu aktif hale gelecektir. Bu kutudan yazının yüksekliğini 0.2in olarak ayarlayınız. OK butonuna basarak işleminizi onaylayınız. ( Şekil 15 ) Tasarım alanına baktığınızda TA yazısının boyutunun büyümüş olduğunu göreceksiniz. Aynı pencereyi kullanarak yazının rengini, yazı tipini, kalınlığını, vb. gibi özelliklerini değiştirebilirsiniz. 6

7 Şekil 14. Terminal Uçlarının İsimlendirilmesi 8. Aynı yöntemi kullanarak diğer terminallerin de isimlerinin boyutlarını büyütünüz. Şekil 15. Terminal İsimlerinin Stil Ayarlarının Yapılması 9. Devrenizi çalıştırınız. 10. Devrenin girişlerine Tablo 1 de verilen lojik durumları sırasıyla uygulayınız. 7

8 11. Devrenin lojik çıkışlarını gözlemleyiniz. A B D BO Tablo 1. Tam Çıkarıcının Doğruluk Tablosu 12. Bağlantı terminalleri kopmlex devrelerde elemanlar arası bağlantıyı oluşturmak için kullanılır. Devrenin daha sade görünmesini sağlar. Uygulama-1.9: Çoklu yol ( bus ) uygulaması. 1. AND, OR, NOT, 74136, LOGIGPROBE (BIG) ve LOGISTATE elemanlarını tasarım alanına çağırınız. 2. Şekil 16 de gösterildiği gibi elemanları tasarım alanına yerleştiriniz. Şekil 16. Elemanların Tasarım Alanına Yerleştirilmesi 3. Main Modes araç çubuğunda bulunan (Bus) butonunu aktif hale getiriniz. 4. Şekil 17 da gösterildiği gibi tasarım alanında çoklu yol oluşturunuz. 5. Çoklu yol ile bağlantısı yapılacak bütün pinleri Şekil 17 da görüldüğü gibi yapınız. (Elemanların çoklu yol ile bağlantısının yapılması iki pinin birbirine bağlantısı gibidir.) 6. Main Modes araç çubuğu üzerinde bulunan düğmesini aktif hale getiriniz. Fare imlecini pin ile çoklu yol arasında kalan hattın üzerine götürünüz. Fare imleci ucunda x şekli oluşunca sol tıklayınız. Karşınıza gelen Edit Wire Label penceresinden String yazısının yanındaki kutu içerisine hattın ismini yazınız ve OK butonuna basarak işleminizi onaylayınız. 7. Hatta verdiğiniz ismin yüksekliğini 0.2in olarak değiştiriniz. ( Uygulama 23 e bakınız.) 8. Aynı yöntemi kullanarak bütün hatları isimlendiriniz. (Aralarında bağlantı olan hatlara aynı isimleri vermelisiniz.) 8

9 Şekil 17. Çoklu Yol Kullanılarak Yapılmış Lojik Diyagram 9. Devrenizi çalıştırınız. 10. Devrenizin girişlerinde kullanılan lojik sinyallerin konumlarını değiştirerek devrenizin çıkış konumunu gözlemleyiniz. 3. Tasarım Alanına Dijital Devre Elemanlarının Yerleştirilmesi Dijital Devreye Test Aygıtlarının Bağlanması Uygulama-1.10: Lojik analizörden D tipi flip_flop un çıkış sinyallerinin incelenmesi. 1. Şekil 18 deki devreyi kurunuz. 2. D/FF un D girişine uygulanan bilgi sinyalini tasarım alanına çağırmak için Gadgets araç çubuğunda bulunan (Generatör) düğmesine tıklayınız ve açılan sinyal çeşitlerinden DLOK sinyalini seçiniz. Şekil 18. D Tipi Flip- Flop 3. DLOK sinyalini Şekil 19 deki gibi düzenleyiniz. 9

10 Şekil 19. DLOK Sinyali Düzenleme Penceresi 4. lock üretecinin frekansını 1KHz olarak ayarlayınız. 5. Devrenizi çalıştırınız. 6. Şekil 20 de verilen VSM Lojik Analyser ekran görüntüsü karşınıza gelecektir. 7. Lojik analizör ekranı devreyi ilk çalıştırdığınızda boştur. Trigger butonuna basarak sinyalleri göstermesini sağlayınız. 8. Sinyalin görüntü olarak genişliğini ayarlamak için Zoom düğmesini sağa-sola çeviriniz. 9. Sinyalin zaman aralığını ayarlamak için Resolution düğmesini sağa-sola çeviriniz. (Bu işlemden sonra tekrar Trigger düğmesine basmalısınız.) Şekil 20. VSM Logic Analyser Ekran Görüntüsü 10

11 Uygulama-1.11: Frekansmetre uygulaması. 1. Kütüphaneden lock elemanını çağırınız. 2. ( Virtual Instruments) düğmesini kullanarak OUNTER TIMER elemanını tasarım alanına çağırınız. 3. Şekil 21 deki devreyi kurunuz. Şekil 21. Frekansmetre Uygulama Devresi 4. lock üretecinin frekansını 100Hz olarak ayarlayınız. 5. Frekansmetreyi Şekil 22 deki gibi ayarlayınız. Şekil 22. Frekansmetrenin Düzenleme Penceresi 6. Devrenizi çalıştırınız. 7. Frekansmetrenin ekranından clock üretecinin frekansı görülecektir. Uygulama-1.12: Yarım toplayıcı devresinin yapımı. 1. Kütüphaneden AND, ve LED-RED elemanlarını çağırınız. 2. Gadgets araç çubuğunda bulunan (Generator) düğmesini tıklayınız. Açılan sinyal çeşitlerinden DPATTERN sinyal çeşidini seçiniz. 3. Şekil 23 deki devreyi kurunuz. 11

12 Şekil 23. EXOR ve AND Kapılı Yarım Toplayıcı Devresi 4. Düzenleme modundayken DPATTERN sinyallerini Şekil 24 daki gibi ayarlayınız. 5. Yine düzenleme modundayken terminal uçlarını isimlendiriniz. ( Uygulama 23 e bakınız.) Şekil 24. DPATTERN Sinyallerinin Düzenlenmesi 6. Devrenizi çalıştırınız. Çıkış ledlerini gözlemleyiniz. 7. DPATTERN elemanları dijital devrelerin girişlerine lojik sinyaller vermek için kullanılır. DPATTERN sinyalinin düzenleme penceresinde Pulse width ( Secs ) seçeneği ile devreye verilecek 1 bitlik lojik sinyalin ( palsin ) genişliği saniye olarak ayarlanır. Specific pulse train seçeneği ile de devreye verilecek lojik sinyallerin ( palslerin ) sırasını kullanıcı kendisi belirleyebilir. Uygulama-1.13: NE 555 entegresi ile yapılan tek kararlı multivibratör devresi. 1. Şekil 25 deki devreyi kurunuz. 2. lock sinyalinin frekansını 50Hz olarak ayarlayınız. 12

13 Şekil 25. NE 555 Entegresi İle Yapılan Tek Kararlı Multivibratör Devresi 3. lock sinyalini ve multivibratörün çıkış sinyalini Osilaskopta gözlemleyiniz. ( Üstteki sinyal multivibratörün çıkış sinyalini, alttaki sinyal lock palsini göstermektedir. ) Şekil 26. Osilaskop Ekranı 4. 5KΩ direnç 5µF lık kondansatör zamanlama elemanlarıdır. 555 li multivibratör devrelerinde bu direncin değeri 1MΩ ve kondansatörün değeri 100µF gibi büyük değerde seçilir. Biz multivibratörün konum değişimini osilaskoptan daha iyi gözlemleyebilmek için düşük değerli direnç ve düşük kapasiteli kondansatör seçtik. 5. Sizde zamanlama elemanlarının değerlerini değiştirerek multivibratörün çıkışını gözlemleyiniz. 6. Tek karalı multivibratörler, girişlerine tetikleme sinyali uygulandığında konup değiştirip zamanlama elemanlarının belirledikleri sürece bu konumda kalan, süre sonunda tekrara ilk konumuna dönen devrelerdir. Uygulama-1.14: PI 16F877 ile seri LD displayin kontrolünün yapılması. 1. File Load Design seçeneklerini çalıştırınız. SAMPLES\ Milford Serial LD Demo\ RS232LD dosyasını tasarım alanına çağırınız. 2. Şekil 27 daki devre tasarım alanında görülecektir. 13

14 Şekil 27. PI ile LD Kontrolü 3. Play butonuna basarak devreyi çalıştırınız. 4. VTerm ihazının simülasyon penceresi karşınıza gelecektir. ( Şekil 28 ) Şekil 28. VTerm Ekranı 5. LD nin ekranında gösterilen Milford LD Demo yazısı çıkıp, kursör 2. satıra indikten sonra yanıp sönmeye başladıktan sonra Virtual terminal penceresi kullanılarak klavyeden yazılan yazılar LD ekranında görünecektir. 6. Bu devrede VTerm cihazı, PI e seri veri göndermektedir. PI de bu seri verilerin kodunu çözerek LD ekranında göstermektedir. 4. DİJİTAL DEVRE UYGULAMALARI 1. Basit Kapı Devreleri Tasarımı Ve Analizinin Yapılarak Sonuçların Değerlendirilmesi Uygulama-2.1: VE kapısı deneyi. 1. Şekil 29 de verilen devreyi kurunuz. 2. Bataryanın değerini 5V olarak ayarlayınız. 3. SW1 anahtarını A ve SW2 anahtarını B olarak adlandırınız. 14

15 Şekil 29. VE kapısı Deneyi 4. A ve B anahtarlarının konumlarını GND ( lojik 0 ) konumlarına getiriniz. ( LED i gözlemleyiniz. LED yanıyorsa lojik 1 yanmıyorsa lojik 0 olarak değerlendirilir. ) 5. A ve B anahtarlarının konumlarını 5V ( lojik 1 ) konumlarına getiriniz. LED i gözlemleyiniz. 6. A anahtarını lojik 1, B anahtarını lojik 0 konumuna getiriniz. LED i gözlemleyiniz. 7. A anahtarını lojik 0, B anahtarını lojik 1 konumuna getiriniz. LED i gözlemleyiniz. 8. Anahtarların pozisyonlarına göre VE kapısının doğruluk tablosu Tablo 2 deki gibi olacaktır. A B ÇIKIŞ Tablo 2. Ve Kapısının Doğruluk tablosu 9. VE kapısının her iki girişi lojik 1 seviyesinde ise çıkış lojik 1 seviyesindedir. Girişlerden biri veya ikisi lojik 0 seviyesinde ise çıkış lojik 0 seviyesindedir. 10. Aynı deneyi diğer kapılarla da yapınız ve sonucu gözlemleyiniz. Uygulama-2.2: DEĞİL-VE-VEDEĞİL kapıları ile ÖZEL VEYA kapısının elde edilmesi. 1. Şekil 30 de verilen devreyi kurunuz. 2. Devrenin girişlerinde anahtar yerine logicstate elemanları kullanılmıştır. Böylece devre hem daha sade hem de daha anlaşılır olmaktadır. 3. Logicstate elemanları sırasıyla A ve B olarak adlandırınız. Şekil 30. DEĞİL-VE-VEDEĞİL Kapıları İle ÖZEL VEYA Kapısının Elde Edilmesi 15

16 4. A ve B girişlerinin konumlarını değiştirerek LED in durumunu gözlemleyiniz. 5. A ve B girişlerinin konumlarına göre ÖZEL VEYA Kapısının doğruluk tablosu Tablo 3 deki gibi olacaktır. A B ÇIKIŞ Tablo 3. ÖZEL VEYA Kapısının Doğruluk Tablosu 6. ÖZEL VEYA kapısının girişlerinin durumu birbirlerinden farklı ise ( biri lojik 1 diğeri lojik 0) çıkış lojik 1 dir. Giriş değişkenlerinin durumları aynı ise çıkış lojik 0 dır. Uygulama-2.3: Lojik kapılarla 2 bitlik karşılaştırıcının yapılması. 1. Şekil 31 de verilen devreyi çiziniz. 2. Devrenin daha sade görünmesi için çıkışlarında LED yerine lojik prob kullandık. ( İsteğinize bağlı olarak LED de kullanabilirsiniz.) Şekil Bitlik Karşılaştırıcı Devresi 3. Lojik girişleri sırasıyla A ve B olarak adlandırınız. 4. Lojik çıkışları sırasıyla A>B, A=B, A<B olarak adlandırınız. 5. A ve B girişlerinin konumlarını değiştirerek devrenin lojik çıkışlarını inceleyiniz. 6. A ve B girişlerinin konumlarına göre karşılaştırıcı devresinin doğruluk tablosu Tablo 4 deki gibi olacaktır. A B A>B A=B A<B Tablo 4. 2 Bitlik Karşılaştırıcı Devresinin Doğruluk Tablosu 16

17 Uygulama-2.4: AND/NAND kapılı tetiklemeli RS flip-flop un oluşturulması ve incelenmesi. 1. Şekil 94 de verilen devreyi tasarlayınız. 2. Devrenin R ve S girişlerine bağlanan sinyalleri tasarım alanına çağırmak için Gadgets araç çubuğunda bulunan (generatör) düğmesine tıklayınız ve açılan sinyal çeşitlerinden DPATTERN sinyalini seçiniz. Şekil 32. AND/NAND Kapılı Tetiklemeli RS Flip-Flop 3. (Instant edit mode) butonu aktif iken DPATTERN sinyallerini Şekil 33 deki gibi düzenleyiniz. 4. Devrenin girişine uygulanan clock palsinizi tasarım alanına çağırmak yine için Gadgets araç çubuğunda bulunan (generator) düğmesine tıklayınız ve açılan sinyal çeşitlerinden DLOK sinyalini seçiniz. 5. Düzenleme modundayken lock Palsinizi Şekil 34 daki gibi düzenleyiniz.. Şekil 33.DPATTERN Düzenleme Penceresi Şekil 34.LOK Sinyali Düzenleme Penceresi 17

18 6. Düzenleme modundayken AND ve NOR kapılarını Şekil 35 deki gibi düzenleyiniz Data To Q Delay ( Low-High ) : 100n Data To Q Delay (High -Low) : 100n Deglitch Time For Q Output : 50n 7. Devrenizi çalıştırınız. 8. Devrenizin lojik sinyallerini görmek için lojik diyagramını çizelim. 9. Gadgets araç çubuğunda bulunan (Simulation Graph) düğmesine tıklayınız. Gösterilen grafik çeşitlerinden DIGITAL grafik çeşidini seçiniz ve tasarım alanında grafik alanınızı belirleyiniz. ( Faaliyet 3 / Uygulama 15 e bakınız. ) Şekil 35. NAND ve NOR Kapısı Düzenleme Penceresi 10. Graph Edit Graph seçeneklerini çalıştırınız ve Şekil 36 deki düzenlemeleri yapınız. Şekil 36 Grafik Düzenleme Penceresi 11. Düzenlemeyi yaptıktan sonra grafiğin bu değişikliklere göre simülasyonunun tekrar yapılıp yapılmayacağı sorulur. YES butonuna tıklayarak evet cevabını veriniz. 12. Graph Add Trace seçeneklerini çalıştırınız. Karşınıza gelen pencerede Şekil 37 daki düzenlemeleri yapınız. ( Her sinyal için aynı işlemi tekrarlayınız.) 13. Giriş ve çıkış işlemlerinin seçim işlemi bittikten sonra Graph Simulate Graph seçeneklerini çalıştırınız. 18

19 Şekil 37. R Girişinin Grafikte Gösterilmesi 14. RS Flip-Flop un lojik diyagramı Şekil 38 deki gibi olacaktır. Şekil 38. RS Flip-Flop un Lojik Diyagramı 2. Çeşitli Devre Tasarımları Ve Analizlerinin Yapılarak Sonuçların Değerlendirilmesi Uygulama-2.5: D tipi FF lu asenkron yukarı sayıcı devresi. 1. Kütüphaneden 74EG-BD, DTFF ve LOK elemanlarını çağırınız. 2. Şekil 39 de verilen devreyi tasarım alanına kurunuz. 3. LOK üretecini 1Hz olarak ayarlayınız. 4. Devrenizi çalıştırınız. 5. lock üretecinin frekansını istediğiniz değişik frekanslarda ayarlayarak devrenizin çalışmasını inceleyiniz. Şekil 39. D/FF lu Asenkron Yukarı Sayıcı Devresi 19

20 Uygulama-2.6: Subcircuit uygulaması (Entegre devrenin oluşturulması). 1. Boş bir tasarım alanı açınız. 2. Main Modes araç çubuğunda bulunan ( Subcircuit) düğmesine tıklayınız. 3. Fare imlecini tasarım alanında subcircuit oluşturmak istediğiniz yere götürünüz. 4. Sol tuşa basılı tutunuz ve fare imlecini sürükleyerek bir kare oluşturunuz. ( Şekil 40 ) Şekil 40. Subcircuit Oluşturulması 5. Subcircuit kutusu oluşturulduktan UNNAMED HİLD SHEET isimli bir tasarım alanı daha oluşur. Bu tasarım alanı ana tasarım alanına bağlı olan bir alt tasarım alanıdır ve bu tasarım alanında subcircuit kutusunun içerisinde bulunacak olan devre oluşturulur. Bu tasarım alanının içi boştur. Bu tasarım alanını incelemek için Design- Goto Sheet seçeneklerini çalıştırınız. Karşınıza Şekil 41 deki gibi bir pencere gelecektir. Fare imlecini UNNAMED HİLD SHEET üzerine götürünüz ve OK butonuna basınız. Böylece UNNAMED HİLD SHEET tasarım alanı açılacaktır. 6. Ana tasarım alanına geri dönmek için Design Exit to Parent seçeneklerini çalıştırınız. Şekil 41. Alt Tasarım Alanının Görünümü 7. Ana tasarım alanında bulunan Subcircuit isim vermek için düzenleme modundayken fare imleciyle Subcircuit in üzerine geliniz ve sol tıklayınız. Şekil 42 de verilen Edit Subcircuit penceresi açılacaktır. Name kutusuna EX- OR, ircuit kutusuna ÖZEL VEYA yazınız. Ok butonuna basınız. Böylece Subcircuit ı isimlendirmiş oldunuz. 20

21 Şekil 42. Subcircuit Düzenleme Penceresi 8. Subcircuit ın ismini EX-OR devrenin ismini ÖZEL VEYA olarak adlandırmış oldunuz. Şekil 43. Subcircuit a İsim Verilmesi 9. Alt tasarım alanının adı da EX-OR olarak değişir. Alt tasarım alanına geçmek için Design Goto Sheet seçeneklerini çalıştırınız. Karşınıza gelen pencereden fare imleciyle EX-OR alt tasarım alanına geliniz ve OK butonuna basınız. Şekil 44. Alt Tasarım Alanına Geçilmesi 10. Açılan alt tasarım alanı boş olacaktır. Bu tasarım alanı üzerine. Subcircuit olarak oluşturmak istediğiniz devre çizilir. 11. Şekil 107 deki devreyi çiziniz. Şekil 45. Subcircuit Devresinin Oluşturulmaya Başlanması 21

22 12. Gadgets araç çubuğu üzerinde bulunana ( Inter-sheet Terminal ) araç düğmesine basınız ve malzeme kutusuna gelen terminal uçlarından INPUT terminalini seçiniz. 13. Tasarım alanında bulunan devrenizin giriş uçlarına sol tıklayınız. Terminaller devrenizin giriş uçlarına eklenecektir. 14. Malzeme kutusundan OUTPUT terminalini seçiniz. Devrenizin çıkışına bu terminali ekleyiniz. 15. Şekil 46 deki gibi bu terminalleri devrenize bağlayınız. Şekil 46. Terminal Uçlarının Devreye Bağlanması 16. Düzenleme modundayken devrenizin giriş ve çıkış uçlarını isimlendiriniz. ( Şekil 47 ) Şekil 47. Terminal Uçlarının İsimlendirilmesi 17. Yine düzenleme modundayken Q1 terminalinin üzerine sol tıklayınız. Açılan Edit Terminal Label penceresinden Style butonuna basınız. Fallow Global seçeneğindeki onayı kaldırınız. Height kutusu aktif hale gelecektir. Buradan terminal isminin boyutunu 0.2in olarak ayarlayınız. Terminal isminin diğer özelliklerini de istediğiniz gibi ayarlayabilirsiniz. 18. Aynı yöntemi kullanarak diğer terminal uçlarının isimlerinin boyutlarını 0.2in olarak ayarlayınız. Şekil 48. Subcircuit Oluşturacağımız Devrenin Tamamlanmış Hali 22

23 19. Böylece Subcircuit oluşturacağınız devreyi tamamlamış oldunuz. ( Şekil 48 ) 20. Şimdi ana tasarım alanına dönünüz. 21. Main Modes araç çubuğunda bulunan (Subcircuit ) araç düğmesine basınız. Açılan portlardan INPUT portunu seçiniz. Fare imlecini tasarım alanında bulunan Subcircuit ın sol üste yakın kenarına götürünüz ve bir kere sol tıklayınız. Giriş terminali Subcircuit a bağlanmış olacaktır. Şekil 49 deki gibi giriş terminallerini yerleştiriniz. 22. Bu defa OUTPUT portunu seçiniz ve Şekil 49 de görüldüğü gibi Subcircuit ın çıkışına yerleştiriniz. Şekil 49. Subcircuit Portlarının Bağlanması 23. Düzenleme moduna geçiniz ve portları isimlendiriniz. Portlara vereceğiniz isimler alt tasarım alanında oluşturduğunuz devrenin giriş ve çıkış terminallerine vereceğiniz isimlerle aynı olmalıdır. Şekil 50. Subcircuit Portlarının İsimlendirilmesi 24. Subcircuit devrenizi oluşturmuş oldunuz. ( Şekil 50 ) 25. Şekil 51 deki devreyi kurunuz. 26. Devrenizi çalıştırınız. Devrenizin çalışması alt tasarım alanında oluşturduğunuz devrenin çalışmasıyla aynı olmalıdır. ( ÖZEL VEYA olarak çalışmalıdır. ) Şekil 51. Subcircuit İle Oluşturulan Devrenin Çalıştırılması 23

24 Uygulama-2.7: PI ile step motor kontrolünün yapılması. 1. File Load Design seçeneklerini çalıştırınız. 2. Samples/Motor Examples /PISTEPR dosyasını tasarım alanına çağırınız. 3. Şekil 52 de verilen devre tasarım alanında görülecektir. 4. Play butonuna basarak devrenizi çalıştırınız. Şekil 52. PI İle Step Motor Uygulaması 5. Devredeki butonlara sırasıyla basarak step motoru ileri-geri hareket ettiriniz. 6. Düzenleme modundayken fare imlecini PI16F84A entegresinin üzerine getiriniz ve sol tıklayınız. Açılan edit penceresinden pic in özelliklerini inceleyiniz. Uygulama-2.8: Eleman oluşturulması ve kütüphaneye eklenmesi. DS1868 çiftli dijital potansiyometre entegresi oluşturacağız. Bu entegrenin özellikleri: Ultra düşük güç tüketimi İki dijital kontrollü 256 durumlu potansiyometre İki potansiyometre için Seri portla tanımlanan okuma ve set etme Yüksek dirençler elde edebilmek için dirençlerin seri bağlanabilmesi +5 yada +3 volt operasyon Standart direnç değerleri o DS K o DS K o DS K 1. Yeni bir çalışma sayfası açınız. 2. 2D araç çubuğu üzerinde bulunan ( 2D Graphics Box) araç düğmesine sol tıklayınız. 3. Açılan Graphic Styles seçeneklerinden OMPONENT i seçiniz. 24

25 4. Tasarım alanında sol tuşa basılı tutarak istediğiniz boyutta bir dikdörtgen çiziniz. Böylece elemanınızın dış çerçevesini belirlemiş oldunuz. 5. Oluşturduğunuz dikdörtgeni farenin sağ tuşunu kullanarak blok içerisine alınız. ( Şekil 53 ) Şekil 53. Eleman Şeklinin Oluşturulması 6. Eleman şeklinizi oluşturduktan sonra Library Make Symbol seçeneklerini çalıştırınız. 7. Açılan Make Symbol penceresini Şekil 116 daki gibi düzenleyiniz. Şekil 54. Make Symbol Penceresi 8. Gadgets araç çubuğu üzerinde bulunan ( device pin) düğmesine basınız ve açılan pin çeşitleri içerisinden DEFAULT pinini seçiniz. 9. Yeni oluşturduğunuz elemanın, pinlerini oluşturacağınız yerlerine fare imlecini götürünüz ve bir kere sol tıklayınız. 10. Şekil 55 de görüldüğü gibi Elemanın pinlerini yerleştiriniz. Şekil 55. Elemanın Pinlerinin Oluşturulması 11. Elemanın pinlerine numara ve isim vermek için düzenleme moduna geçiniz. Fare imlecini elemanın sol en üst pini üzerine götürünüz ve sol tıklayınız. Açılan Edit Pin penceresini Şekil 56 deki gibi düzenleyiniz. 25

26 Şekil 56. Edit Pin Penceresi nolu pine isim ve numara verdikten sonra aynı yöntemle diğer pinlere de isim ve numara veriniz. Şekil 57. Pinlerin İsm Ve Numaralandırma İşleminin Bitirilmesi 13. Şimdi elemanı blok içerisine alınız. Library Make Device seçeneklerini çalıştırınız. 14. Karşınıza gelen Make Device penceresinden elemanınıza isim ve sembol ismi veriniz. Next butonuna basınız. ( Şekil 58 ) Şekil 58. Make Device Penceresi 15. Karşınıza Şekil 59 deki pencere gelir. Bu pencerede Add/Edit butonuna basınız. 26

27 Şekil 59. Elemanın PB Tanımlama Penceresi 16. Karşınıza Şekil 60 deki pencere gelecektir.bu pencere aracılığı ile elemanınıza PB tanımlamaları yapabilirsiniz ve pinlerde istediğiniz değişiklikleri yapabilirsiniz. İstediğiniz değişiklikleri yaptıktan sonra Add butonuna basınız. Şekil 60. Package Device Penceresi 17. Karşınıza Şekil 61 de verilen pencere gelir. Bu pencere aracılığı ile yaptığınız elemanda baskılı devre çiziminde kullanılmak üzere uygun olan PB pin bağlantısını seçiniz ve farenin sol tuşu ile üzerine çift tıklayınız. 27

28 Şekil 61. Pick Packages Penceresi 18. Package device penceresi açılacaktır. Pencerenin sol tarafında elemanın pinleri ve özellikleri görülmektedir. Pin isimlerinin üzerine sol tıklayarak seçili hale getirebilir ve seçili durumdayken sağ tıklayarak özelliklerini değiştirebilirsiniz. ( Şekil 62 ) Şekil 62. Package Device Penceresi 19. Package Device penceresindeyken Assign Package(s) butonuna basınız. Şekil 63 deki pencere ekrana gelecektir. Bu pencerede elemanınız için tanımladığınız PB pin bağlantısının görünüşü yer alır. Next butonuna basınız. 28

29 Şekil 63. Make Device Penceresi 20. Ekrana Şekil 64 daki pencere gelecektir. Bu pencerede elemanla ilgili tanımlamalar bulunur. Başka tanımlamalar yapmak istiyorsanız New butonuna basınız. Tanımlamalar bittikten sonra Next butonuna basınız. Şekil 64. Elemana Özellik Ekleme Penceresi 21. Şekil 65 de verilen pencerede eleman için varsa Data sheet dosyası tanımlanır. Eğer eleman için yardım dosyası oluşturduysanız bu pencere aracılığı ile bu yardım dosyası da tanımlanır. Tanımlama işlemini bitirdikten sonra Next butonuna basınız. 29

30 Şekil 65. Elemana Data Sheet Ekleme Penceresi 22. Ekrana gelen pencerede elemanın ekleneceği kütüphane seçilir. Bu pencerede sadece USERDV kütüphanesi görülür. OK butonuna basarak elemanı bu kütüphaneye ekleyiniz. 23. DS 1868 elemanı USERDV kütüphanesine yerleşmiş oldu. 24. Oluşturduğunuz elemanı tasarım alanına çağırınız. ( Şekil 66 ) Şekil 66. Oluşturduğunuz Elemanın Tasarım Alanındaki Görüntüsü 30

ANALOG DEVRE TASARIMI VE SİMÜLASYONU

ANALOG DEVRE TASARIMI VE SİMÜLASYONU ANALOG DEVRE TASARIMI VE SİMÜLASYONU Analog Ölçü Araçları ve Üreteçleri ISIS programının elektronik laboratuarında 12 tane ölçü aleti ve cihaz bulunmaktadır. unlardan 4 tanesi analog test cihazı, 8 tanesi

Detaylı

ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 4 DENEYİN ADI: JK, RS, T VE D TİPİ FLİP-FLOPLARIN İNCELENMESİ

ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 4 DENEYİN ADI: JK, RS, T VE D TİPİ FLİP-FLOPLARIN İNCELENMESİ ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 4 DENEYİN ADI: JK, RS, T VE D TİPİ FLİP-FLOPLARIN İNCELENMESİ Açıklamalar: Bu deneyde JK, RS, T ve D tipi flip-flop (FF) lar incelenecektir. Deney içerisinde

Detaylı

Tek kararlı(monostable) multivibratör devresi

Tek kararlı(monostable) multivibratör devresi Tek kararlı(monostable) multivibratör devresi Malzeme listesi: Güç kaynağı: 12V dc Transistör: 2xBC237 LED: 2x5 mm standart led Direnç: 2x330 Ω, 10 K, 100 K Kondansatör: 100μF, 1000μF Şekildeki tek kararlı

Detaylı

Bölüm 4 Ardışıl Lojik Devre Deneyleri

Bölüm 4 Ardışıl Lojik Devre Deneyleri Bölüm 4 Ardışıl Lojik Devre Deneyleri DENEY 4-1 Flip-Floplar DENEYİN AMACI 1. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop

Detaylı

ATELYE: BİLGİSAYAR DESTEKLİ UYGULAMALAR İŞ YAPRAĞI NO: 1 UYGULAMA1 : TASARIM ALANINA ELEMAN ÇAĞIRMA

ATELYE: BİLGİSAYAR DESTEKLİ UYGULAMALAR İŞ YAPRAĞI NO: 1 UYGULAMA1 : TASARIM ALANINA ELEMAN ÇAĞIRMA ATELYE: BİLGİSAYAR DESTEKLİ UYGULAMALAR İŞ YAPRAĞI NO: 1 UYGULAMA1 : TASARIM ALANINA ELEMAN ÇAĞIRMA İŞLEM BASAMAKLARI : 1. Devices, yani elemanlar kutusunun sol üst kısmında bulunan (Şekil 3.1 de gösterilen)

Detaylı

Bölüm 7 Ardışıl Lojik Devreler

Bölüm 7 Ardışıl Lojik Devreler Bölüm 7 Ardışıl Lojik Devreler DENEY 7- Flip-Floplar DENEYİN AMACI. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop türlerinin

Detaylı

EAGLE KÜTÜPHANE OLUŞTURMA

EAGLE KÜTÜPHANE OLUŞTURMA 1 EAGLE mühendislik EAGLE KÜTÜPHANE OLUŞTURMA Merhaba Arkadaşlar, sizlerle bu yazımda bir PCB-Dizayn programı olan EAGLE da sıfırdan kütüphane oluşturmayı anlatmaya çalışacağım. Eagle bilindiği üzere iki

Detaylı

Deney 3: Asenkron Sayıcılar

Deney 3: Asenkron Sayıcılar Deney 3: Asenkron Sayıcılar Sayıcılar hakkında genel bilgi sahibi olunması, asenkron sayıcıların kurulması ve incelenmesi Kullanılan Elemanlar 1xLM555 Entegresi, 1x10 kohm direnç, 1x100 kohm direnç, 1x10

Detaylı

DENEY 2- Sayıcılar. 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi.

DENEY 2- Sayıcılar. 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi. DENEY 2- Sayıcılar DENEY 2- JK Flip-Flop Devreleri DENEYİN AMACI 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi. GENEL BİLGİLER Sayıcılar flip-floplar

Detaylı

KOCAELİ TEKNİK LİSESİ ELEKTRİK ELEKTRONİK BÖLÜMÜ OTOMASYON ATÖLYESİ EKTS (Elektrik Kumanda Teknikleri Simülatörü ) DERS NOTU. Kaynak : www.veppa.

KOCAELİ TEKNİK LİSESİ ELEKTRİK ELEKTRONİK BÖLÜMÜ OTOMASYON ATÖLYESİ EKTS (Elektrik Kumanda Teknikleri Simülatörü ) DERS NOTU. Kaynak : www.veppa. KOCAELİ TEKNİK LİSESİ ELEKTRİK ELEKTRONİK BÖLÜMÜ OTOMASYON ATÖLYESİ EKTS (Elektrik Kumanda Teknikleri Simülatörü ) DERS NOTU Kaynak : www.veppa.com Hakkında EKTS (Elektrik Kumanda Teknikleri Simülatörü

Detaylı

www.elektrikogretmenleri.com

www.elektrikogretmenleri.com FIREWORKS (MENU OLUŞ TURMA) 1 Önce Başlat menüsü Programlar Adobe Web Premium CS3 Adobe Fireworks CS3 kısayol simgesi ile Fireworks programı açılır. 2 Fireworks programı açıldığında Karşımıza gelen Yeni

Detaylı

DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler

DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler DENEY 2a- JK Flip-Flop Devreleri DENEYİN AMACI 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi. GENEL

Detaylı

CAEeda ÇÖZÜMÜ YAPILMIŞ NACA 0012 KANADI İÇİN 2B ÇİZİM EĞİTİM NOTU. EDA Tasarım Analiz Mühendislik

CAEeda ÇÖZÜMÜ YAPILMIŞ NACA 0012 KANADI İÇİN 2B ÇİZİM EĞİTİM NOTU. EDA Tasarım Analiz Mühendislik CAEeda TM ÇÖZÜMÜ YAPILMIŞ NACA 0012 KANADI İÇİN 2B ÇİZİM EĞİTİM NOTU EDA Tasarım Analiz Mühendislik 1. Kapsam Çözümü yapılmış *.pos.edf dosyasında bulunan çözümağını al. Sonlu eleman modeli üzerinde bulunan

Detaylı

Analog Sayısal Dönüşüm

Analog Sayısal Dönüşüm Analog Sayısal Dönüşüm Gerilim sinyali formundaki analog bir veriyi, iki tabanındaki sayısal bir veriye dönüştürmek için, az önce anlatılan merdiven devresiyle, bir sayıcı (counter) ve bir karşılaştırıcı

Detaylı

İnönü Üniversitesi Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü

İnönü Üniversitesi Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü İnönü Üniversitesi Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü 00223 - Mantık Devreleri Tasarımı Laboratuar Föyleri Numara: Ad Soyad: Arş. Grv. Bilal ŞENOL Devre Kurma Alanı Arş. Grv. Bilal ŞENOL

Detaylı

Code Composer Studio İndirilmesi ve Kurulması

Code Composer Studio İndirilmesi ve Kurulması BÖLÜM 2: 2.1. STELARIS KART GENEL BİLGİ VE CODE COMPOSER STUDIO: Code Composer Studio İndirilmesi ve Kurulması 1. Aşağıdaki linkten Code Composer Studio yu indirebilirsiniz: http://processors.wiki.ti.com/index.php/download_ccs

Detaylı

DENEY 21 IC Zamanlayıcı Devre

DENEY 21 IC Zamanlayıcı Devre DENEY 21 IC Zamanlayıcı Devre DENEYİN AMACI 1. IC zamanlayıcı NE555 in çalışmasını öğrenmek. 2. 555 multivibratörlerinin çalışma ve yapılarını öğrenmek. 3. IC zamanlayıcı anahtar devresi yapmak. GİRİŞ

Detaylı

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits)

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits) SE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates nd Logic Circuits) Sakarya Üniversitesi Lojik Kapılar - maçlar Lojik kapıları ve lojik devreleri tanıtmak Temel işlemler olarak VE,

Detaylı

Şekil 3-1 Ses ve PWM işaretleri arasındaki ilişki

Şekil 3-1 Ses ve PWM işaretleri arasındaki ilişki DARBE GENİŞLİK MÖDÜLATÖRLERİ (PWM) (3.DENEY) DENEY NO : 3 DENEY ADI : Darbe Genişlik Modülatörleri (PWM) DENEYİN AMACI : µa741 kullanarak bir darbe genişlik modülatörünün gerçekleştirilmesi.lm555 in karakteristiklerinin

Detaylı

İÇİNDEKİLER 1. KLAVYE... 11 2. KLAVYE RB0... 19 3. KLAVYE RBHIGH... 27 4. 4 DİSPLAY... 31

İÇİNDEKİLER 1. KLAVYE... 11 2. KLAVYE RB0... 19 3. KLAVYE RBHIGH... 27 4. 4 DİSPLAY... 31 İÇİNDEKİLER 1. KLAVYE... 11 Satır ve Sütunlar...11 Devre Şeması...14 Program...15 PIC 16F84 ile 4x4 klavye tasarımını gösterir. PORTA ya bağlı 4 adet LED ile tuş bilgisi gözlenir. Kendiniz Uygulayınız...18

Detaylı

1. Sayıcıların çalışma prensiplerini ve JK flip-floplarla nasıl gerçekleştirileceğini anlamak. 2. Asenkron ve senkron sayıcıları incelemek.

1. Sayıcıların çalışma prensiplerini ve JK flip-floplarla nasıl gerçekleştirileceğini anlamak. 2. Asenkron ve senkron sayıcıları incelemek. DENEY 7-2 Sayıcılar DENEYİN AMACI 1. Sayıcıların çalışma prensiplerini ve JK flip-floplarla nasıl gerçekleştirileceğini anlamak. 2. Asenkron ve senkron sayıcıları incelemek. GENEL BİLGİLER Sayıcılar, flip-floplar

Detaylı

Animasyon Teknikleri, Ses ve Video İşlemleri 1 / 18

Animasyon Teknikleri, Ses ve Video İşlemleri 1 / 18 Animasyon Teknikleri, Ses ve Video İşlemleri 1 / 18 4. SEMBOLLER Animasyon yazılımı çizilen şekilleri veya çalışma içerisine aktarılan şekilleri sembollere dönüştürerek kütüphanede saklayabilir. Kütüphanede

Detaylı

SAYICILAR. Tetikleme işaretlerinin Sayma yönüne göre Sayma kodlanmasına göre uygulanışına göre. Şekil 52. Sayıcıların Sınıflandırılması

SAYICILAR. Tetikleme işaretlerinin Sayma yönüne göre Sayma kodlanmasına göre uygulanışına göre. Şekil 52. Sayıcıların Sınıflandırılması 25. Sayıcı Devreleri Giriş darbelerine bağlı olarak belirli bir durum dizisini tekrarlayan lojik devreler, sayıcı olarak adlandırılır. Çok değişik alanlarda kullanılan sayıcı devreleri, FF lerin uygun

Detaylı

İÇİNDEKİLER ISIS TEMRİNLERİ 1 : Proteus Programına Genel Bir Bakış.. 1 Proteus Programı İle Neler Yapılabilir?... 1 ISIS Programı Ekranı...

İÇİNDEKİLER ISIS TEMRİNLERİ 1 : Proteus Programına Genel Bir Bakış.. 1 Proteus Programı İle Neler Yapılabilir?... 1 ISIS Programı Ekranı... İÇİNDEKİLER ISIS TEMRİNLERİ 1 : Proteus Programına Genel Bir Bakış.. 1 Proteus Programı İle Neler Yapılabilir?..... 1 ISIS Programı Ekranı..... 1 2 : Bir Anahtarla Bir Ledi Yakan Devre 2 ISIS Çalışma Sayfası

Detaylı

Bölüm 4 Ardışıl Lojik Devre Deneyleri

Bölüm 4 Ardışıl Lojik Devre Deneyleri Bölüm 4 Ardışıl Lojik Devre Deneyleri DENEY 4-1 Flip-Floplar DENEYİN AMACI 1. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop

Detaylı

DENEME SINAVI. ECDL BAŞLANGIÇ Hesap Tablosu

DENEME SINAVI. ECDL BAŞLANGIÇ Hesap Tablosu DENEME SINAVI ECDL BAŞLANGIÇ Hesap Tablosu 1. Hesap Çizelgesi (Microsoft Office - Excel) uygulamasını açınız. Başlat > Programlar > Microsoft Office > Microsoft Office Excel 2003 yolu izlenerek Excel programı

Detaylı

Teorik Bilgi DENEY 7: ASENKRON VE SENKRON SAYICILAR

Teorik Bilgi DENEY 7: ASENKRON VE SENKRON SAYICILAR DENEY 7: ASENKRON VE SENKRON SAYICILAR Deneyin Amaçları Asenkron ve senkron sayıcı devre yapılarının öğrenilmesi ve deneysel olarak yapılması Deney Malzemeleri 74LS08 Ve Kapı Entegresi (1 Adet) 74LS76

Detaylı

ORCAD/PSPICE Kullanımı. Ar. Gör. Mustafa İSTANBULLU, Doç. Dr. Mutlu AVCI

ORCAD/PSPICE Kullanımı. Ar. Gör. Mustafa İSTANBULLU, Doç. Dr. Mutlu AVCI ORCAD/PSPICE Kullanımı Ar. Gör. Mustafa İSTANBULLU, Doç. Dr. Mutlu AVCI SPICE (Simulation Program for Integrated Circuits Emphasis), elektronik devrelerin bilgisayar ortamında benzetimini (simülasyon)

Detaylı

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ SAYISAL DEVRE UYGULAMALARI Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ İÇİNDEKİLER ŞEKİLLER TABLOSU... vi MALZEME LİSTESİ... viii ENTEGRELER... ix 1. Direnç ve Diyotlarla Yapılan

Detaylı

ÖĞRENME FAALİYETİ 3 ÖĞRENME FAALİYETİ 3

ÖĞRENME FAALİYETİ 3 ÖĞRENME FAALİYETİ 3 ÖĞRENME FAALİYETİ 3 ÖĞRENME FAALİYETİ 3 AMAÇ Bu öğrenme faaliyeti ile tasarım düzenlemelerini yapabileceksiniz. ARAŞTIRMA Tema ne demektir? Temayı oluşturan ögeler nelerdir? Araştırınız. Arka plan ne demektir?

Detaylı

CAPTURE Capture kısmını açtığımızda karşımıza Capture session frame gelir (Şekil 4.1.).

CAPTURE Capture kısmını açtığımızda karşımıza Capture session frame gelir (Şekil 4.1.). BÖLÜM 4 CAPTURE Capture kısmını açtığımızda karşımıza Capture session frame gelir (Şekil 4.1.). Şekil 4.1 Capture Ortamı Genel Yüzeyi Bütün şematik dizayn ve işlemler bu pencerede (capture window) yapılacaktır.

Detaylı

KATES Yazılım Güncelleme Dokümanı

KATES Yazılım Güncelleme Dokümanı KATES Yazılım Güncelleme Dokümanı Yazılım Güncelleme için aşağıdaki işlemler sırasıyla yapılmalıdır. 1. Gerekli Yazılımları ve Dokümanları İndirin 2. KATES i sürekli çalışma moduna alın. 3. Bluetooth bağlantısını

Detaylı

18. FLİP FLOP LAR (FLIP FLOPS)

18. FLİP FLOP LAR (FLIP FLOPS) 18. FLİP FLOP LAR (FLIP FLOPS) Flip Flop lar iki kararlı elektriksel duruma sahip olan elektronik devrelerdir. Devrenin girişlerine uygulanan işarete göre çıkış bir kararlı durumdan diğer (ikinci) kararlı

Detaylı

Deney 2: Flip-Floplar

Deney 2: Flip-Floplar Deney 2: Flip-Floplar Bu deneyde, çeşitli flip-flop devreleri kurulacak ve incelenecektir. Kullanılan Elemanlar 1 x 74HC00 (NAND kapısı) 1 x 74HC73 (JK flip-flop) 1 x 74HC74 (D flip-flop) 4 x 4,7 kohm

Detaylı

SAYISAL MANTIK LAB. PROJELERİ

SAYISAL MANTIK LAB. PROJELERİ 1. 8 bitlik Okunur Yazılır Bellek (RAM) Her biri ayrı adreslenmiş 8 adet D tipi flip-flop kullanılabilir. RAM'lerde okuma ve yazma işlemleri CS (Chip Select), RD (Read), WR (Write) kontrol sinyalleri ile

Detaylı

Deney 6: Ring (Halka) ve Johnson Sayıcılar

Deney 6: Ring (Halka) ve Johnson Sayıcılar Deney 6: Ring (Halka) ve Johnson Sayıcılar Kullanılan Elemanlar xlm Entegresi, x0 kohm direnç, x00 kohm direnç, x0 µf elektrolitik kondansatör, x00 nf kondansatör, x 7HC7 (D flip-flop), x 0 ohm, x Led

Detaylı

Dosya(FILE) araç çubuğu

Dosya(FILE) araç çubuğu Dosya(FILE) araç çubuğu NEW DESİGN, Yeni çalışma sayfası açmayı sağlar. OPEN DESIGN, Yüklü ve/veya önceki çalışmaları açar. SAVE current DESIGN, Geçerli çalışmayı kaydetmeyi sağlar. IMPORT SECTION, Mevcut

Detaylı

CAPTURE Capture kısmını açtığımızda karşımıza Capture session frame gelir (Şekil 4.1.).

CAPTURE Capture kısmını açtığımızda karşımıza Capture session frame gelir (Şekil 4.1.). BÖLÜM 4 CAPTURE Capture kısmını açtığımızda karşımıza Capture session frame gelir (Şekil 4.1.). Şekil 4.1 Capture Ortamı Genel Yüzeyi Bütün şematik dizayn ve işlemler bu pencerede (capture window) yapılacaktır.

Detaylı

SİMULİNK KULLANIMI: Simulink'i çalıştırmak için MATLAB komut satırında simulink yazıyoruz.karşımıza Simulink Kütüphanesi çıkacaktır:

SİMULİNK KULLANIMI: Simulink'i çalıştırmak için MATLAB komut satırında simulink yazıyoruz.karşımıza Simulink Kütüphanesi çıkacaktır: SİMULİNK DERSLERİ SİMULİNK KULLANIMI: Model Oluşturmak : Bu örnekte Simulink'te bir modeli nasıl oluşturup simule edeceğimizi göreceğiz. Bu modelde bir sinüs dalgasını ve integralini birleştirerek sonucu

Detaylı

DENEY 5 RS FLİP-FLOP DENEYLERİ

DENEY 5 RS FLİP-FLOP DENEYLERİ Adı Soyadı: No: Grup: DENEY 5 RS FLİP-FLOP DENEYLERİ ÖN BİLGİ : Sayısal bilgiyi ( "0" veya "1" ) depolamada ve işlemede kullanılan temel devrelerden biri de F-F lardır. Genel olarak dört tipi vardır: 1-

Detaylı

MY PANEL METER KULLANIM KILAVUZU

MY PANEL METER KULLANIM KILAVUZU MY PANEL METER KULLANIM KILAVUZU EPA100 cihazınızı USB ile bilgisayarınıza bağlayarak çeşitli ayarlar yapabilir, okunan değerlerin grafiğini çıkartabilir, cihaz üzerinde yaptığınız bütün fonksiyonlara

Detaylı

www.elektrikogretmenleri.com

www.elektrikogretmenleri.com DREAMWEAVERDA NESNELERE DAVRANIŞ EKLEME Dreamweaver da fare hareket olaylı, animasyonlu görüntüler oluşturmak istenildiğinde hazır scriptler anlamına gelen Davranışlar(behaviors) kullanılmaktadır. Yukarıdaki

Detaylı

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY RAPORU. Deney No: 1 MULTİSİM E GİRİŞ

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY RAPORU. Deney No: 1 MULTİSİM E GİRİŞ TEKNOLOJİ FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY RAPORU Deney No: 1 MULTİSİM E GİRİŞ Yrd.Doç. Dr. Ünal KURT Arş. Gör. Ayşe AYDIN YURDUSEV Öğrenci: Adı Soyadı Numarası

Detaylı

Teknik Resim Çıkartılması

Teknik Resim Çıkartılması Teknik Resim Çıkartılması Open komutuna tıklayarak daha önce çizmiş olduğumuz D2-Revolved Feature isimli part dosyamızı açalım. New komutuna tıklayarak yeni bir Drawing dokümanı oluşturalım. Karşımıza

Detaylı

Flash ile Etkileşimli Öğretim Materyali Hazırlama Semineri

Flash ile Etkileşimli Öğretim Materyali Hazırlama Semineri Öğretim Teknolojileri Destek Ofisi Instructional Technologies Support Office Flash ile Etkileşimli Öğretim Materyali Hazırlama Semineri Semboller, Ses Ekleme, Video Ekleme Orta Doğu Teknik Üniversitesi

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI DENEY V : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI AMAÇLAR: ALTERA tarafından geliştirilen son teknoloji

Detaylı

Bu uygulama saatinde, dinamik sistemlerin simülasyonu (benzetimi) için geliştirilmiş olan, oldukça kullanışlı bir arayüz, Simulink, tanıtılacaktır.

Bu uygulama saatinde, dinamik sistemlerin simülasyonu (benzetimi) için geliştirilmiş olan, oldukça kullanışlı bir arayüz, Simulink, tanıtılacaktır. Bu uygulama saatinde, dinamik sistemlerin simülasyonu (benzetimi) için geliştirilmiş olan, oldukça kullanışlı bir arayüz, Simulink, tanıtılacaktır. Simulink bir Grafik Kullanıcı Arayüzü (Graphical User

Detaylı

Web sayfalarında yapılan her çalışma ve düzenleme tablolar aracılığı ile yapılır. Dolayısıyla çoğu web sayfalarında tablo kullanmak zorunluluktur.

Web sayfalarında yapılan her çalışma ve düzenleme tablolar aracılığı ile yapılır. Dolayısıyla çoğu web sayfalarında tablo kullanmak zorunluluktur. Yazı Tipi Stili HTML kodları 47 Kalın İtalik Altı Çizili 9.2.6 Metin yükseltme veya alçaltma 1. Sayfa görünümünde, belge penceresinin altındaki Tasarla düğmesini tıklatın. 2.

Detaylı

DS150E Kullanıcı El Kitabı. Dangerfield June. 2009 V3.0 Delphi PSS

DS150E Kullanıcı El Kitabı. Dangerfield June. 2009 V3.0 Delphi PSS DS150E Kullanıcı El Kitabı 1 Ana bileşen...3 Kurulum talimatları...5 Bluetooth Ayarları...26 Arıza Teşhis Programı...39 Dosya...41 Ayarlar...43 Belgeler...51 Yardım...53 OBD İletişimi...56 Yazdır ve Kaydet...66

Detaylı

4-1. Ön Kontrol Paneli

4-1. Ön Kontrol Paneli 4-1. Ön Kontrol Paneli 1 MIG Tabanca Bağlantısı 2 Su Soğutma Ünitesi Bağlantısı(Kırmızı) Torçtan su soğutma ünitesine dönen su için. 3 Su Soğutma Ünitesi Bağlantısı(Mavi) Su soğutma ünitesinden torca giden

Detaylı

Mantık Devreleri Laboratuarı

Mantık Devreleri Laboratuarı 2013 2014 Mantık Devreleri Laboratuarı Ders Sorumlusu: Prof. Dr. Mehmet AKBABA Laboratuar Sorumlusu: Emrullah SONUÇ İÇİNDEKİLER Deney 1: 'DEĞİL', 'VE', 'VEYA', 'VE DEĞİL', 'VEYA DEĞİL' KAPILARI... 3 1.0.

Detaylı

Fatih Üniversitesi Elektrik ve Elektronik Mühendisliği Bölümü EEM 316 Haberleşme I LAB SINAVI DARBE GENLİK MODÜLASYONU (PWM)

Fatih Üniversitesi Elektrik ve Elektronik Mühendisliği Bölümü EEM 316 Haberleşme I LAB SINAVI DARBE GENLİK MODÜLASYONU (PWM) Fatih Üniversitesi Elektrik ve Elektronik Mühendisliği Bölümü EEM 316 Haberleşme I LAB SINAVI DARBE GENLİK MODÜLASYONU (PWM) 9.1 Amaçlar 1. µa741 ile PWM modülatör kurulması. 2. LM555 in çalışma prensiplerinin

Detaylı

BİL BİLGİSAYAR PROGRAMLAMA (JAVA)

BİL BİLGİSAYAR PROGRAMLAMA (JAVA) BİL 141 - BİLGİSAYAR PROGRAMLAMA (JAVA) KURULUM DÖKÜMANI Ders kapsamında lab saatlerinde veya lab saatleri dışında Java kodları yazabilmek ve çalıştırabilmek için bilgisayarınıza bazı yazılımların kurulması

Detaylı

Microsoft Word 2010 Uygulama 1

Microsoft Word 2010 Uygulama 1 Microsoft Word 2010 Uygulama 1 1. Bulunduğunuz bilgisayarın Belgelerim klasörü altında adına kendi numaranızı verdiğiniz bir klasör oluşturun. Uygulamaya başlamadan önce Elektronik Destek Sisteminde bulunan

Detaylı

Deney 1: Saat darbesi üretici devresi

Deney 1: Saat darbesi üretici devresi Deney 1: Saat darbesi üretici devresi Bu deneyde, bir 555 zamanlayıcı entegresi(ic) kullanılacak ve verilen bir frekansta saat darbelerini üretmek için gerekli bağlantılar yapılacaktır. Devre iki ek direnç

Detaylı

PSPICE Đ NASIL KULLANIRIM

PSPICE Đ NASIL KULLANIRIM PSPICE Đ NASIL KULLANIRIM 1. File New Project e tıklayalım. 2. Create a blank project e tıklayıp OK diyelim. 1 Yeni bir şematik otomatik olarak açılır. Ekranın sağ tarafında Tool Panel vardır. Devre elemanlarını,

Detaylı

2014-2015 EĞİTİM-ÖĞRETİM YILI BİLİŞİM TEKNOLOJİLERİ VE YAZILIM DERSİ 6. SINIF 2. DÖNEM 2. SINAV ÇALIŞMA NOTLARI

2014-2015 EĞİTİM-ÖĞRETİM YILI BİLİŞİM TEKNOLOJİLERİ VE YAZILIM DERSİ 6. SINIF 2. DÖNEM 2. SINAV ÇALIŞMA NOTLARI 2014-2015 EĞİTİM-ÖĞRETİM YILI BİLİŞİM TEKNOLOJİLERİ VE YAZILIM DERSİ 6. SINIF 2. DÖNEM 2. SINAV ÇALIŞMA NOTLARI İşletim Sisteminde Yapılan Uygulamalar Bir Bilgisayarda Hangi İşletim Sistemi Yüklü Olduğunu

Detaylı

NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ

NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ DENEY 1 Elektronik devrelerde sık sık karşımıza çıkan

Detaylı

TEKNOLOJİ FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI

TEKNOLOJİ FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI TEKNOLOJİ FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI DENEY FÖYÜ 1 EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI DENEY NO : 1 DENEYİN ADI : OSİLATÖR DEVRESİ Giriş

Detaylı

TEMEL BİLGİSAYAR. Ders Notları. Yrd. Doç. Dr. Seyit Okan KARA

TEMEL BİLGİSAYAR. Ders Notları. Yrd. Doç. Dr. Seyit Okan KARA TEMEL BİLGİSAYAR Ders Notları Yrd. Doç. Dr. Seyit Okan KARA Pencerenin ortasında bulunan beyaz sayfa, slayt tasarımında kullanacağımız sayfamızdır. Sol panelde bu slayt sayfasının küçültülmüş halde bir

Detaylı

PSPICE AC SWEEP VE PARAMETRĐK ANALĐZ YÖNTEMLERĐ

PSPICE AC SWEEP VE PARAMETRĐK ANALĐZ YÖNTEMLERĐ PSPICE AC SWEEP VE PARAMETRĐK ANALĐZ YÖNTEMLERĐ AC SWEEP ANALĐZĐ Bu AC analiz yöntemi ile; devrenin frekans cevabı çıkarılabilir, kaynak geriliminin, devredeki herhangi bir elemanın akımının, geriliminin,

Detaylı

5.bölümde ise dosya göz atıcı ve dosya menüsü yer almaktadır. Dosya göz atıcıyı incelemek için klasör simgesine tıklayınız.

5.bölümde ise dosya göz atıcı ve dosya menüsü yer almaktadır. Dosya göz atıcıyı incelemek için klasör simgesine tıklayınız. Antropi Teach ekranı, 5 bölümden oluşmaktadır. 1.bölümde silgi ve araç kutusu yer almaktadır. 2.bölümde sayfalar arası geçiş yapmayı sağlayan yön okları bulunmaktadır. 3.bölüm tahta işlemi görmektedir.

Detaylı

Proje Teslimi: 2013-2014 güz yarıyılı ikinci ders haftasında teslim edilecektir.

Proje Teslimi: 2013-2014 güz yarıyılı ikinci ders haftasında teslim edilecektir. ELEKTRONĐK YAZ PROJESĐ-2 (v1.1) Yıldız Teknik Üniversitesi Elektronik ve Haberleşme Mühendisliği Bölümünde okuyan 1. ve 2. sınıf öğrencilerine; mesleği sevdirerek öğretmek amacıyla, isteğe bağlı olarak

Detaylı

Bölüm 8 Ardışıl Lojik Devre Uygulamaları

Bölüm 8 Ardışıl Lojik Devre Uygulamaları Bölüm 8 Ardışıl Lojik Devre Uygulamaları DENEY 8-1 Kayan LED Kontrolü DENEYİN AMACI 1. Kayan LED kontrol devresinin çalışma prensibini anlamak. 2. Bir kayan LED kontrol devresi gerçekleştirmek ve çalıştırmak.

Detaylı

ÖĞRENME FAALİYETİ 1 ÖĞRENME FAALİYETİ 1

ÖĞRENME FAALİYETİ 1 ÖĞRENME FAALİYETİ 1 ÖĞRENME FAALİYETİ 1 ÖĞRENME FAALİYETİ 1 AMAÇ Bilgisayar ve elektronik tablolama yazılımı sağlandığında elektronik tablolama yazılımı çalışma alanı düzenlemelerini yapabileceksiniz. ARAŞTIRMA Güncel olarak

Detaylı

a. Giriş sekmesi: 1. Paragraf bölümünde Madde İşaretleri veya Numaralandırma seçeneklerinden istediğinize tıklayın.

a. Giriş sekmesi: 1. Paragraf bölümünde Madde İşaretleri veya Numaralandırma seçeneklerinden istediğinize tıklayın. Madde İmleri ve Numaralandırma Sıralı veya sırasız listeler oluşturmak için madde imleri ve numaralandırma seçeneğini kullanabilirsiniz. Madde İşaretli ve Numaralandırılmış Listeler Oluşturma Yazılı olan

Detaylı

Swansoft Fanuc OiM Kullanımı

Swansoft Fanuc OiM Kullanımı SWANSOFT Sol ve üst taraftaki araç çubukları aktif değildir. Acil stop butonuna basıldığında aktif olur. Görünüm çek menüsünden tezgaha bakış yönü değiştirilebilir. Göster menüsü, tezgahta görünmesi istenilen

Detaylı

www.mekatroncnc.com.tr

www.mekatroncnc.com.tr Sayfa 1 Sayfa 2 Sayfa 3 MACH3 PROGRAMI KULLANMA KLAVUZU 1. ADIM: İlk olarak MACH3 MILL programı içerisine giriyoruz ve alttaki ekran karşımıza geliyor.(reset butonun yeşil yanmasına dikkat ediyoruz ve

Detaylı

LAB 0 : Xilinx ISE Kullanımı

LAB 0 : Xilinx ISE Kullanımı LAB 0 : Xilinx ISE Kullanımı 1. Proje Yaratma a. Xilinx ISE programını açınız. b. File à New Project menüsünü seçiniz. New Project Wizard diyalog penceresi açılacaktır. c. New Project Wizard diyalog penceresinde

Detaylı

DENEY 8- Flip Flop ve Uygulamaları. Amaç: - Flip Flop çalışma mantığını kavramak

DENEY 8- Flip Flop ve Uygulamaları. Amaç: - Flip Flop çalışma mantığını kavramak DENEY 8- Flip Flop ve Uygulamaları Amaç: - Flip Flop çalışma mantığını kavramak Deneyin Yapılışı: - Deney bağlantı şemasında verilen devreleri uygun elemanlarla kurunuz. Entegrenin besleme ve GND bağlantılarını

Detaylı

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY RAPORU. Deney No: 3 FF Devreleri

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY RAPORU. Deney No: 3 FF Devreleri TEKNOLOJİ FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY RAPORU Deney No: 3 FF Devreleri Yrd. Doç Dr. Ünal KURT Yrd. Doç. Dr. Hatice VURAL Arş. Gör. Ayşe AYDIN YURDUSEV

Detaylı

T.C. istanbul ÜNiVERSiTESi ÖĞRENCi BiLGi SiSTEMi. ÖĞRETiM ELEMANI KULLANIM KILAVUZU

T.C. istanbul ÜNiVERSiTESi ÖĞRENCi BiLGi SiSTEMi. ÖĞRETiM ELEMANI KULLANIM KILAVUZU T.C. istanbul ÜNiVERSiTESi ÖĞRENCi BiLGi SiSTEMi ÖĞRETiM ELEMANI KULLANIM KILAVUZU 1 1. Sisteme Giriş Nokta Üniversite Otomasyonu sistemini kullanabilmek için öncelikle Windows işletim sisteminde bulunan

Detaylı

LAYOUT ORTAMINDA ÇALIŞMA:

LAYOUT ORTAMINDA ÇALIŞMA: BÖLÜM 13 LAYOUT ORTAMINDA ÇALIŞMA: Daha önce projesini capture ortamında hazırlamış olduğumuz diyot devresini layout ortamında açalım. Karşımıza aşağıdaki şekil gelir(şekil 13.1.). Şekil 13.1. Diyot Devresi

Detaylı

BÖLÜM 23 TD 200... F8 F4 SHIFT ESC ENTER M1.7 M1.6 M1.5 M1.4 M1.3 M1.2 M1.1 M1.0 F8 F7 F6 F5 F4 F3 F2 F1. Shift + F1

BÖLÜM 23 TD 200... F8 F4 SHIFT ESC ENTER M1.7 M1.6 M1.5 M1.4 M1.3 M1.2 M1.1 M1.0 F8 F7 F6 F5 F4 F3 F2 F1. Shift + F1 BÖLÜM 23 231 -TD 200 ( OPERATÖR PANEL) KULLANIMI TD 200 operatör paneli; PLC' ye mesaj göndermek, PLC' de daha önce yüklenmiş olan mesajları almak, analog işlemli projelerde ısı, nem, gaz, ışık gibi değerleri

Detaylı

Metin İşlemleri, Semboller

Metin İşlemleri, Semboller Ankara Üniversitesi Nallıhan Meslek Yüksekokulu Metin İşlemleri, Semboller NBP108 - GRAFİK ANİMASYON il Öğr.Gör. Salih ERDURUCAN 1 / 13 3. METİN İŞLEMLERİ 3.1. Metin Aracı Animasyon yazılımı yazı yazmak

Detaylı

Yedek Nasıl Alınır? "Veri Tabanı Yedekleme ve Geri Alma" butonunu tıklayınca aşağıdaki gibi bir ekran açılacaktır.

Yedek Nasıl Alınır? Veri Tabanı Yedekleme ve Geri Alma butonunu tıklayınca aşağıdaki gibi bir ekran açılacaktır. Yedek Nasıl Alınır? Yedek almak için bir çok yöntem uygulanabilir. Biz yazımızda iki farklı yöntemi anlatacağız. Bunlardan birincisi; programın içinden alınan yedektir ki bu yöntemde; diğer kullanıcıların

Detaylı

Kopyalama ve Taşıma konularıyla ilgili daha değişik uygulamalar yaparak bu konunun pekiştirilmesini sağlamanız yararınıza olacaktır.

Kopyalama ve Taşıma konularıyla ilgili daha değişik uygulamalar yaparak bu konunun pekiştirilmesini sağlamanız yararınıza olacaktır. Kopyalama ve Taşıma konularıyla ilgili daha değişik uygulamalar yaparak bu konunun pekiştirilmesini sağlamanız yararınıza olacaktır. NOTLAR: 1. Bir Klasörün içindeki bir dosyayı fare sol tuşunu basılı

Detaylı

Deney 3 5 Üç-Fazlı Tam Dalga Tam-Kontrollü Doğrultucu

Deney 3 5 Üç-Fazlı Tam Dalga Tam-Kontrollü Doğrultucu Deney 3 5 Üç-Fazlı Tam Dalga Tam-Kontrollü Doğrultucu DENEYİN AMACI 1. Üç-fazlı tam dalga tam-kontrollü doğrultucunun çalışma prensibini ve karakteristiklerini anlamak. 2. Üç-fazlı tam dalga tam-kontrollü

Detaylı

ACS790 Programından OZW672 Web Server a tesis diyagramının aktarılması

ACS790 Programından OZW672 Web Server a tesis diyagramının aktarılması ACS790 Programından OZW672 Web Server a tesis diyagramının aktarılması 1. OCI 700 servis aracını RVS serisi kontrol cihazı kullanıyorsanız sol taraftaki resimde görüldüğü gibi BSB soketine, LMS kazan kontrol

Detaylı

PIC PROGRAMLAMA STEP MOTOR SÜRÜCÜ VE KONTROL AMAÇ NEDİR? Unipolar Step Motorlar. Uç TESPİTİ NASIL YAPILIR?

PIC PROGRAMLAMA STEP MOTOR SÜRÜCÜ VE KONTROL AMAÇ NEDİR? Unipolar Step Motorlar. Uç TESPİTİ NASIL YAPILIR? PIC PROGRAMLAMA hbozkurt@mekatroniklab.com www.mekatroniklab.com.tr STEP MOTOR SÜRÜCÜ VE KONTROL AMAÇ Bu ayki sayımızda, özellikle CNC ve robotik uygulamalarda oldukça yaygın olarak kullanılan step motorlar

Detaylı

Rezistivite Cihazı Kullanım Klavuzu

Rezistivite Cihazı Kullanım Klavuzu Rezistivite Cihazı Kullanım Klavuzu Açılış ekranı açıklamaları: 1 - Son çalışma aç : Cizhazda en son çalışma yaptığınız dosyayı açar. 2 - Dosya aç : İstediğiniz dosyayı açmanızı sağlar. 3 Yeni çalışma

Detaylı

DERS NOTLARI. Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi

DERS NOTLARI. Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi DERS NOTLARI Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi Ders-3 11.10.2016 555-Zaman Entegresi 555 Zaman Entegre Devresi monastable multivibratör (asimetrik kare dalga osilatör), astable

Detaylı

MS WORD 4.BÖLÜM. Bölüm Adı: NESNE EKLEME Bölümün Amacı: Belgeye nesne ekleme işlemlerini gerçekleştirmek.

MS WORD 4.BÖLÜM. Bölüm Adı: NESNE EKLEME Bölümün Amacı: Belgeye nesne ekleme işlemlerini gerçekleştirmek. MS WORD 4.BÖLÜM Bölüm Adı: NESNE EKLEME Bölümün Amacı: Belgeye nesne ekleme işlemlerini gerçekleştirmek. Neler Öğreneceksiniz? Bu bölümü bitiren kişi: 1. Ekle sekmesini tanır. 2. Kapak sayfası oluşturabilir.

Detaylı

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL Bu dönemki Bil264L dersinde kullanacağımız Xilinx ISE Web 14.7 programında nasıl proje oluşturacağımız, oluşturduğumuz devreleri nasıl test edeceğimiz ve bu devreleri

Detaylı

Argox Yazıcılar İçin Bartender Programı Üzerinden Etiket Tasarımı Yardım Dosyası

Argox Yazıcılar İçin Bartender Programı Üzerinden Etiket Tasarımı Yardım Dosyası Argox Yazıcılar İçin Bartender Programı Üzerinden Etiket Tasarımı Yardım Dosyası Doküman Versiyon : 1.01.01 Tarih : 18.08.2017 Copyright 2017 AKINSOFT 1 - Çalışma Şekli Hakkında Kısa Bilgi AKINSOFT WOLVOX

Detaylı

DY-45 OSİLOSKOP V2.0 KİTİ

DY-45 OSİLOSKOP V2.0 KİTİ DY-45 OSİLOSKOP V2.0 KİTİ Kullanma Kılavuzu 12 Ocak 2012 Amatör elektronikle uğraşanlar için osiloskop pahalı bir test cihazıdır. Bu kitte amatör elektronikçilere hitap edecek basit ama kullanışlı bir

Detaylı

C için tümleşik geliştirme ortamı (IDE) kurulumları

C için tümleşik geliştirme ortamı (IDE) kurulumları C için tümleşik geliştirme ortamı (IDE) kurulumları 1. Code::Blocks IDE 2. Eclipse IDE 3. Dev-C++ IDE 4. Code::Blocks EDU-Portable (CodeBlocks-EP) IDE ( IDE: Integrated Development Environment http://tr.wikipedia.org/wiki/t%c3%bcmle%c5%9fik_geli%c5%9ftirme_ortam%c4%b1

Detaylı

DENEY 3a- Yarım Toplayıcı ve Tam Toplayıcı Devresi

DENEY 3a- Yarım Toplayıcı ve Tam Toplayıcı Devresi DENEY 3a- Yarım Toplayıcı ve Tam Toplayıcı Devresi DENEYİN AMACI 1. Aritmetik birimdeki yarım ve tam toplayıcıların karakteristiklerini anlamak. GENEL BİLGİLER Toplama devreleri, Yarım Toplayıcı (YT) ve

Detaylı

NPratik Yazılım Kurulum Kılavuzu. Yedekleme İşlemi

NPratik Yazılım Kurulum Kılavuzu. Yedekleme İşlemi NPratik Yazılım Kurulum Kılavuzu Herhangi bir neden ile bilgisayarınızı formatlamadan önce NPratik Yazılım Verilerinizi yedeklemeniz gerekmektedir. Programı ilk defa kuruyorsanız NPratik Yazılım Kurulum

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 6 Tutucular, Flip-Floplar ve Zamanlayıcılar Tutucular (Latches) Tutucu iki kararlı (bistable state) durumu olan en temel sayısal depolama

Detaylı

MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK

MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK 1.1 Programın Başlatılması 1.2 Yeni Proje Oluşturma 1.3 MCU Seçimi Yrd.Doç.Dr.Bülent Çobanoğlu 1.4 MCU Programlama Dil Seçimi 1.5 Proje İsmi

Detaylı

DY-45 OSĐLOSKOP KĐTĐ. Kullanma Kılavuzu

DY-45 OSĐLOSKOP KĐTĐ. Kullanma Kılavuzu DY-45 OSĐLOSKOP KĐTĐ Kullanma Kılavuzu 01 Kasım 2010 Amatör elektronikle uğraşanlar için osiloskop pahalı bir test cihazıdır. Bu kitte amatör elektronikçilere hitap edecek basit ama kullanışlı bir yazılım

Detaylı

DENEYLERDE KULLANILACAK LABORATUVAR EKİPMANLARI

DENEYLERDE KULLANILACAK LABORATUVAR EKİPMANLARI DENEYLERDE KULLANILACAK LABORATUVAR EKİPMANLARI Karamanoğlu Mehmetbey Üniversitesi Elektrik Elektronik Mühendisliği Bölümü Devre ve Elektronik Laboratuvarında yer alan her bir masada aşağıda isim ve özellikleri

Detaylı

BÖLÜM 5 5. TABLO OLUŞTURMAK

BÖLÜM 5 5. TABLO OLUŞTURMAK BÖLÜM 5 5. TABLO OLUŞTURMAK Belli bir düzen içerisinde yan yana ve alt alta sıralanmış veya hizalı şekilde oluşturulması gereken bilgiler word de tablo kullanılarak hazırlanırlar. Örneğin bir sınıfa ait

Detaylı

Part-Helical Sweep/ Yrd. Doç. Dr. Mehmet FIRAT- Yrd. Doç. Dr. Murat ÖZSOY

Part-Helical Sweep/ Yrd. Doç. Dr. Mehmet FIRAT- Yrd. Doç. Dr. Murat ÖZSOY HELICAL SWEEP YÖNTEMİ İLE CİVATA ÇİZİMİ 1. Bu ve bundan sonraki hafta basit bir cıvata çizimi yapılacaktır. Cıvata çizimi için ilk olarak cıvata başını çizmek gerekir. Bunun için bir altıgen çizip bu altıgeni

Detaylı

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL Bu dönemki Bil264L dersinde kullanacağımız Xilinx ISE Web 14.7 programında nasıl proje oluşturacağımız, oluşturduğumuz devreleri nasıl test edeceğimiz ve bu devreleri

Detaylı

1. Direnç değeri okunurken mavi renginin sayısal değeri nedir? a) 4 b) 5 c) 1 d) 6 2. Direnç değeri okunurken altın renginin tolerans değeri kaçtır?

1. Direnç değeri okunurken mavi renginin sayısal değeri nedir? a) 4 b) 5 c) 1 d) 6 2. Direnç değeri okunurken altın renginin tolerans değeri kaçtır? 1. Direnç değeri okunurken mavi renginin sayısal değeri nedir? a) 4 b) 5 c) 1 d) 6 2. Direnç değeri okunurken altın renginin tolerans değeri kaçtır? a) Yüzde 10 b) Yüzde 5 c) Yüzde 1 d) Yüzde 20 3. Direnç

Detaylı

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER PROJE OLUŞTURMA ŞEMATİK DOSYASI OLUŞTURULMASI VERILOG DOSYASI OLUŞTURULMASI TEST DOSYASI OLUŞTURULMASI XILINX ISE SIMULATOR İLE BENZETİM YAPILMASI PİN

Detaylı

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü MANTIK DEVRELERİ TASARIMI LABORATUVARI DENEY FÖYLERİ 2018 Deney 1: MANTIK KAPILARI VE

Detaylı

YENİ DÜNYALAR REKLAM POWERLED KULLANIM KILAVUZU

YENİ DÜNYALAR REKLAM POWERLED KULLANIM KILAVUZU YENİ DÜNYALAR REKLAM POWERLED KULLANIM KILAVUZU 1- Kayan yazı tabelasının enerji kablosuna 220V enerji veriniz. Tabelada daha önce yazılmış bilgiler varsa ekranda akmaya başlayacaklardır. 2- Kayan yazı

Detaylı