Kasırga [Gizli] KASIRGA PROJESİ 3. GELİŞME RAPORU Ankara

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "Kasırga [Gizli] KASIRGA PROJESİ 3. GELİŞME RAPORU. 16.06.2008 Ankara"

Transkript

1 KASIRGA PROJESİ 3. GELİŞME RAPORU Ankara

2 İÇINDEKILER 1. KASIRGA İşlemcisi Tasarım Aşamaları Sanal Tasarım Buyruk Tasarımı Mimari Tasarımı Kasırga Kasırga Kasırga Kasırga Fiziksel Tasarım Giriş Kasırga 1 in Fiziksel Tasarımı Kasırga 2 nin Fiziksel Tasarımı Kasırga 3 ün Fiziksel Tasarımı Kasırga Çeviricisi - Tulpar Çeviricinin yazılma amacı ve gerekliliği, ihtiyaçlarla beraber gelişme aşaması, yapabildikleri Çeviricinin kurulumu Tulpar ın kullanımı Öykünücü, öykünücü kullanımı Tulpar a Gelecekte Eklenecek Özellikler Örnek Kod - OBEB... 12

3 1. KASIRGA İŞLEMCİSİ Kasırga, tek bir işlemci değil bir işlemciler dizisinin genel adıdır. Hali hazırda Kasırga 1 ve Kasırga 2 işlemcileri tasarlanmış kart üzerinde çalışır durumdadırlar. Kasırga 3 işlemcisinin ise Sanal tasarımı ve benzetimleri tamamlanmıştır. Kasırga 4 işlemcisinin kavram tasarımı tamamlanmış ancak henüz uygulamasına geçilmemiştir. Kasırga işlemcileri Verilog dili ile ve Xilinx FPGA kartları üzerinde gerçeklenmektedirler. 2. TASARIM AŞAMALARI 2.1. SANAL TASARIM İşlemcilerin sanal tasarımında ilk adım herşeyin kağıt üzerine geçirilmesi ve görülebilen sorunların orada çözülmesidir. Bu konuda mimari dersi deneyimleri hem tasarım yapmada hem de sorunlarını bulmada faydalı olmuştur. Sanal tasarımın ardından EDA araçları yerine, verilog kodları yazılarak benzetimler ile sanal tasarıma devam edilmiştir. Yine bu aşamada saat vuruşu sırasında durumların teker teker incelenebilmesi ile kağıt üzerinde görülememiş hatalar düzeltilmektedir. Burada da istenilen sonuçlar görülebildiğinde işlemcinin fiziksel tasarımı gerçekleştirilmektedir BUYRUK TASARIMI Yarışma dahilinde temel olarak verilen 30 buyruğun gerçekleştirilmesi için tüm buyrukların farklı bit kombinasyonları ile tanımlanması gerekir. Bu durumda 2^5 = 32 olduğundan 5 bit işlem kodları için yeterli olmuştur. Yine kelime uzunluğu 16 bit olduğu için buna uyum açısından ve bellek kullanımının kolaylaştırılması için buyruklar da 16 bit olarak belirlenmiştir. Bu 16 bitin ilk 5 biti işlem kodudur. Daha sonra gelen bitlerle işlemlerin yapılacağı yazmaçların adresleri bildirilmektedir. 8 adet 16 bitlik yazmacı adreslemek için 2^3 = 8 olduğundan 3 bit yeterlidir. Yazmaçlarla işlem yapılan buyruk türlerinde 3 veya 2 yazmaç gösterilirken, anlık değerlerle işlem yapan buyruklarda işlem kodu haricinde kalan 11 bit anlık değer olarak kullanılmaktadır. Anlık değerlerle yapılan işlemlerde yazmaç adresi vermek için bi kullanılmamış, bunun yerine anlık değerlerle işlem yapılırken birikeç kullanılmıştır. Buyrukların bu şekilde ayrıştırılmasının başlıca nedeni yarışmada verilen temel buyruk kümesidir. Buyruk kümesindeki buyruk sayısı nedeniyle işlem kodu için kullanılacak bit sayısı zaten belirliydi. Buyruk kümesi tasarlanırken ise amaç mümkün olduğunca düzenli ve sade bir tasarım yapmaktı. Ancak bazı buyrukların gerçekleştirilme şekilleri nedeniyle ve sınırlı bit sayısı tasarımı zorlaştırdı. Yarışmada temel kurallardan bir diğeri ise birikeç kullanılmasıydı. Anlık değerlerle yapılan işlemlerde yazmaç belirtme şansı kalmadığı için birikeç bu tür buyruklarda çözüm olmuştur. Bunun yanında birikeç olarak kullanılan 0 numaralı yazmaç aynı zamanda genel amaçlı yazmaç olarak diğer işlemlerde de kullanılabilmektedir. Yazmaçlarla yapılan aritmetik işlemlerde ise birikeç kullanımı yerine sonucun yazılacağı yazmacın adresi de verilmiştir. Bu şekilde birikeçten devamlı veriyi başka yere taşıma zahmeti yarıya indirilmiş olur. 2 işlenen bir de sonuç olmak üzere 3 yazmaç (3*3 = 9 bit) kullanan buyrukların yanı sıra,

4 yalnızca 2 yazmaç kullanarak işlem yapan buyruklarda bulunmaktadır. Bunlar kaydırma, çapma, karşılaştırma ve değil buyruklarıdır. Kaydırma buyruklarında işlenen üzerinde işlem yapılarak tekrar kendi üzerine yazılmaktadır. Değil buyruğunda ise işlenenin tersi alınarak sonuç yazmacına yazılmaktadır. Karşılaştırma ise yalnızca büyüklük küçüklük durumuna göre bayrakları etkilemektedir. Çarpma ise yine 2 işlenen ile yaptığı çarpma işlemini Alt ve Üst olarak belirlenmiş yazmaçlara yazmaktadır. Çarpma işleminde 16 bitlik iki sayının çarpımı 32 biti geçemez. Bu nedenle sonuç 2 yazmaca bölünerek gösterilir. Hem çarpma sonucunu tutmak hem de genel amaçlı kullanılan alt ve üst yazmaçları bu görevi görür. Üst 16 bitin iptal edilmesi ile yazılacak noktanın belirlenmesi çarpma işlemi için farklı bir gerçekleştirme olacaktır ancak karmaşıklığı da beraberinde getirecektir. Ayrıca çok daha sık kullanılan Intel işlemcilerin assembly dili de benzer şekilde buyrukları gerçeklemektedirler. Yine buyruk tasarımında örnek alınan tasarım Intel assembly tasarımı olmuştur. Bu durum bayraklar tutulması ve dallanmalarda da kendini göstermektedir. Dallanmalar işlemlerini bayraklara bakarak yapmaktadırlar. Bayraklar ise karşılaştırma buyrukları ya da aritmetik buyruklarla değişmektedirler. Bu durumda genelde bir karşılaştırma buyruğu sonrasında dallanma buyrukları kullanılır. Bu durum dallanmanın 2 adımda yapılmasına neden olmuştur ancak atlanacak mesafenin yeterince büyük olması ve düzen açısından uygun olmuştur. Bunu yerine dallanma buyruğu yanında 3 adet yazmaç verilerek ikisinde karşılaştırılacak değerler ve birinde de atlanacak olan değer tutulabilirdi. Ancak bu durumda da gereksiz yere veri taşıma işlemleri artacak ve bayraklar dolayısıyla karşılaştırma buyruğu anlamsızlaşacaktır. Ya da bayraklara erişmek için ayrı buyruklar eklenmesi gerekecekti MİMARİ TASARIMI KASIRGA 1 Kasırga 1 tek vuruşluk bir işlemcidir. Program sayacındaki değerle bellekten alınan buyruk bir saat vuruşu içinde işlenerek sonuç değerleri gereken yazmaçlara yazılmaktadır. Kasırga 1 fiziksel tasarımı sırasında sanal tasarımla FPGA üzerindeki gerçekleştirim aynı anda yürütülmüştür. Bu nedenle ancak Verilog ile kodlamaya geçildiği sırada fark edilebilecek sorunlar ve değişiklikler kısa sürede fark edilip çözümlenmektedir. Ancak bazı durumlarda da FPGA üzerindeki yetersiz hata ayıklama ortamı sebebiyle işlerin uzamasına hatta bazen de yanlış durumların doğru gibi algılanmasına neden olmuştur. Kullanılan bellek hem veri belleği hem de buyrukları tutan bellek olduğu için adres çıkışının önüne konulan bir çoklayıcı ile program sayacı ve adres değeri arasında seçim yapılır. Yazmaç öbeğine gelindiğinde sonucun yazılacağı yazmaç herhangi bir yazmaç olabileceği gibi birikeç de olabilir. Birikeç ve diğer yazmaç arasında seçim yapabilmek için sonuç yazmacının adresinin verildiği girişe bir çoklayıcı bağlanmıştır. Yazmaç öbeğinde bulunan yaz denetimi sonuç yazmacına gelen değerin yazılıp yazılmayacağını denetler. Eğer yaz denetimi etkinse sonuç yazmacı olarak seçilmiş olan birikeç ya da genel amaçlı yazmaca yazmaç öbeğinin Veri Girişinden giren değer yazılır. Yazmaç öbeğinin veri girişinde bulunan çoklayıcı ile AMB den gelen sonuç değeri, anlık değer ve MOV buyruğunun gerçekleştirilmesini sağlayan Rb değeri arasında seçim yapılır. Yazmaç öbeğinin çıkışı olarak Ra, Rb ve Rc yazmaçlarının değerleri alınır. Burada Ra bazı buyruklar için birikeci temsil ederken bazı buyruklar için sonuç yazmacını temsil etmektedir. Eğer işlem LW ya da SW gibi bir bellek işlemi ise Rb değeri bellekten okunacak ya da yazılacak veri için adresi gösterir. Ra LW için bellekten alınan değerin yükleneceği yazmacı, SW

5 içinse belleğe yüklenecek değerin bulunduğu yazmacı gösterir. Eğer buyruk bir aritmetik ya da kaydırma işlemi ise Ra, Rb ve Rc değerleri işlem birimlerinin olduğu kısma yönlendirilir. Aritmetik işlemlerin yapılacağı birimlere iki giriş verilecektir. Giriş değerleri buyruk türüne göre yazmaç öbeğinin çıkışına konulan çoklayıcılar yardımıyla seçilir.

6 KASIRGA 2 Kasırga 2 sanal işlemcisinde tasarım Verilog yazmada edinilen tecrübe ile birlikte daha çok gerçekleştirimden sanal tasarıma geçmeyi takip eder şekilde olmuştur. Verilog ile gerçekleştirilen kodların tasarımı daha sonra kağıt üzerine aktarılmıştır. Bu yöntemdeki sorun Verilog yazılırken bulunan ufak tefek çözümlerin tam anlamıyla bloklar halinde ifade edilememesi ve modülerliği azaltması olmuştur. Kasırga 2 de Kasırga 1 üzerine boru hattı uygulanmıştır. Boru hattı en temel işlemci hızlandırma tekniklerinden bir tanesidir ve aynen günlük hayatta pek çok noktada karşımıza çıkan boru hattı mantığı ile çalışmaktadır. Ancak boru hattının doğru ve verimli işlemesini sağlayacak olan düzenlemeler tasarımı karmaşık ve zor tasarlanır bir hale getirmektedir. Boru hattına denetimlerin doğru ayarlanması en karmaşık noktadır. Kasırga 2 de boru hattı temel sorunları olan veri yönlendirmesi scoreboarding ile, dallanma sorunları ise gelen buyrukları durdurma ile çözülmüştür. Belleğin 2 girişli ve 2 çıkışlı olması, bellek kullanımından ortaya çıkacak bir yapı sorununu ortadan kaldırmıştır.

7 KASIRGA 3 Kasırga 3 işlemcisi Kasırga 2 üzerinde yanlış olduğu görülen noktaların düzeltilmesi ile ortaya çıkmıştır. Yine boru hattı tekniği ile tasarlanan Kasırga 3 te sanal tasarım üzerinde uzun süre çalışılarak kağıt üzerinde sorunları halledilmiş olan tasarım ile birlikte fiziksel tasarıma geçiş yapılmıştır. Bu şekilde öncelikle tüm işlemci bloklar halinde tasarlanmış blokların görevleri tam olarak belirlenmiştir. Örneğin Kasırga 2 de yalnızca denetim birimi tarafından kontrol edilen denetim değerleri iki farklı blok tarafından değişik aşamalarda desteklenmektedir. Bu bloklar Dallanma ve yönlendirme bloklarıdır. Ayrıca boru hattı sorunlarından dallanma sorununa bu tasarıma daha verimli bir çözüm olarak dallanma ile ilgili olarak sabit bir tahmin yapma ve ardından tahmin yanlış ise boru hattından buyrukları çıkarma yoluna gidilmiştir. Bu durumda tahminin doğru olduğu durumlarda kazanç sağlanır. Kasırga 3 teki diğer kısımlar önceki tasarımlarla benzer olmasına rağmen getirilen modülerlik sayesinde hız ve düzen sağlanabilmiştir.

8 KASIRGA 4 Kasırga 4 işlemcisi henüz kavram tasarımı aşamasında olan bir işlemcidir. Şu ana kadar tasarlanmış olan üç Kasırga işlemcisinden farklı olarak yeni bir buyruk kümesi kullanacaktır. Bunun nedeni ilk tasarlanan Kasırga buyruk kümesiyle program yazmanın zorluğu ve veri genişliğinin yetersizliğidir. Yeni nesil Kasırga 4, önceli Kasırga işlemcilerinden farklı olarak yeni ve kolay program yazmak için eklenen yeni buyruklarla geliştirilmiş yeni bir buyruk kümesine, içinde 16 bitlik anlık değerleri barındırabilen 32 bitlik buyruklara ve daha fazla sayıda genel amaçlı yazmaca sahip olacaktır. Kasırga 4 işlemcisinde özellikle dallanma buyruklarının daha da hızlandırılması için mimari düzeyde yenilikler de yapılacaktır FİZİKSEL TASARIM GİRİŞ Kasırga işlemcisini FPGA üzerinde gerçeklemek için Verilog HDL dili kullanılmıştır. Bu raporda verilen tüm zamanlama değerleri Spartan 3E Fg320 Starter Kit platformunda elde edilmiştir. Tasarım ve gerçekleme süresinde Xilinx ISE, Chipscope ve IP Core Generator kullanılmıştır. İşlemci hafızası FPGA üzerinde bulunan 20 adet blok hafızanın 15 nin kullanılması ile elde edilmiştir. Kelime uzunluğu 16 bit olduğu için teknik olarak hafıza 16 bitle adreslenebilir fakat Spartan 3E nin üzerinde yeterli blok hafıza bulunmaması nedeniyle, denemeler yapılırken 14 bitle adresleme mümkün olabilirmiş. Bunun yanında Chipscope yazılımı ile hata ayıklama sırasında, Chipscope un ILA blokları da çok yer kapladığından blok hafızaların adreslemesi yeri geldiğinde 10 bite kadar düşmüştür. Kasırga işlemcisi hafızada bulunan buyrukları 0. adresten başlayarak işlemektedir. Bu buyruklar, TULPAR çevirisine yazılan kodun makine diline çevrilmesiyle elde edilir. TULPAR çeviricisi bu makine kodlarını, IP Core Generator ın ürettiği hafızaya uygun format olan.coe formatında kaydedebilir. Bu durumda işlemciye yeni bir program yüklemek için, IP Core Generator ile yeni bir hafıza üretilmesi gerekmekte ve işlemcinin tekrar sentezlenip kartın içine yerleştirilmesi gerekmektedir. Bu işlem çok uzun sürdüğü için TULPAR çeviricisine RS232 desteği eklenmiştir. Bu sayede kartın üzerindeki bir anahtar kaldırılarak işlemci programlama moduna alınır ve TULPAR dan çevirilen kodlar Kasırga işlemcisinin hafızasına yazılır. Son olarak kartın üzerindeki anahtar çalışma moduna alınarak işlemci çalıştırılır. İşlemcinin sahip olduğu OUT buyruğu hem kartın üzerinde 8 adet LED e hem de VGA modülü sayesinde herhangi bir ekrana bağlanabilmektedir. Bu sayede işlemcinin fonkisyonları çevresel bir aygıta verilen çıktı ile doğrulanabilmektedir KASIRGA 1 İN FİZİKSEL TASARIMI Kasırga 1 işlemcisi tek vuruşluk bir işlemcidir fakat bellek işlemleri tek başına bir saat vuruşu aldığı için, Kasırga işlemcisi içinde daha hızlı 2 saat vuruşu taşımaktadır. Kasırga 1 in sanal tasarımında her ne kadar birimler bloklar halinde gösterilse de, Verilog HDL dilinde tüm işlemci tek bir blok halinde yer almaktadır. Bu bloğa sadece hafıza bağlanmaktadır. Tüm kod tek bir always bloğunun içindedir. Kasırga 1 in işlem birimi kablolar ile bir durum makinesine bağlanmaktadır. Buyruk bellekten çıkar çıkmaz anlam bulan buyruk tanımlamaları vardır. Bu tanımlamalar Verilog dilinde

9 `define anahtar kelimesi ile yapılmaktadır. Bu buyruk tanımlamaları durum makinesinin içine girerek gerekli olan yazmaç atamalarını, işlem birimine verilecek olan değerleri, program sayacının ilerleyişini ve yazmaç öbeğine ne yazılıp yazılmayacağını ayarlar KASIRGA 2 NİN FİZİKSEL TASARIMI Kasırga 2 fiziksel tasarımı, Verilog HDL yazım tarzı açısından ilkine benzemektedir. Yine bir durum makinesi şeklinde tanımlanmıştır fakat mimari açından boru hattı barındırdığı için 4 farklı always bloğu içermektedir. İşlem birimi yine kablolarla tanımlanarak, RTL seviyesinde devre şeklinde elde edilmiştir. Hafızadan çekilen buyruklar Kasırga1 de olduğu gibi `define anahtar kelimesi ile kontrolü sağlamaktadır. Burada boru hattı problemlerini gidermek için kablolar ve yazmaçlar sayesinde yönlendirme ve veri bağımlılıkları bloklar arasındaki veri aktarımını düzenlemektedir. Kodu yazmadaki en temel problem program sayacının kontrolü ve opcode çözme aşamasıdır. Dallanma buyruklarında hesaplanan yeni program sayacı, hafıza bloğuna adres olarak iletildiğinde bir saat vuruşu sonrası elde edilen buyruk, boru hattını bir aşama için bekletmektedir. Aynı şekilde program sayacını doğru yerden dallandırmak ve tekrar başlatmak hassas bir kontrol gerektirmektedir. Bunun yanında çözme aşamasında veri bağımlılıklarını gidermek için yönlendirme kullanılmaktadır. Çözme aşaması tek bir always bloğunda halledilmesi gerektiği için geçici yazmaçlara tıkamasız (non-blocking <= ) atama yapılmaktadır. Tek bir geçici yazmaca dahi değer atamak için hem kod çözmenin hem de yönlendirme aynı anda yapılması gerektiği için tıkamasız atama sırasında çok uzun bir if-else operatörü serisi kullanılmaktadır. Bu tip bir atama daha çok buyruk içeren bir işlemci için uygun değildir. Bu sebepten dolayı Kasırga 2 nin bloklara bölünmesi ve program sayacı kontrolünün boş buyruklara yer vermeyecek şekilde yeniden tasarlanması söz konusu olmuştur ve Kasırga 3 doğmuştur KASIRGA 3 ÜN FİZİKSEL TASARIMI Kasırga 1 ve Kasırga 2 den çıkarılan dersler ile mimari tasarım ile Verilog HDL kodlarının bire bir örtüşmesi hedeflenmiştir. Bu sayede işlemci kodunun always bloklarından oluşmasından ziyade, her bloğun ayrı bir Verilog bloğuna sahip olması hedeflenmiştir. Eski Kasırga larda olduğu gibi bir durum makinesi yapısından daha çok bloklar arasındaki veri yolları çoklayıcılar (multiplexer) tarafından yönetilmektedir. Kasırga 2 dekine benzer bir boru hattına sahip olunduğu için dallanma denetimi ve veri bağımlılıkları, yönlendirme, denetim ve dallanma birimi adlı modüller ile kontrol edilmektedir. Yönlendirme biriminde: Boru hattı aşamaları arasında veri yönlendirmenin yönlendirilmesi yapılmaktadır. Denetim biriminde: Çoklayıcıların, yazmaç öbeğinin ve hafızanın denetim bitlerinin doğru ve zamanında gelmesi sağlanmaktadır. Dallanma birimi: Program sayacı kontrolü ve dallanma tahmini yapılmaktadır. Bu tip bir kod yazımı sayesinde, aşamalar arasına işlemci saat vuruşunun 2 katı frekansta çalışacak D tipi flip-flop lar eklenerek, işlemcinin aşamaları daha küçük aşamalara bölünebilir ve saat sıklığı artırılabilir.

10 3. KASIRGA ÇEVİRİCİSİ - TULPAR Tulpar, Kasıga Çeviricisi, Kasırga İşlemcisi nin en iyi şekilde çalışması için tasarlanan buyruk kümesi kapsamında, Türkçe veya İngilizce assembly dilinde yazılan programları makine koduna çevirir ve istenirse Kasırga İşlemcisi ne gönderir ve yürütür. Eğer Kasırga İşlemcisi nin gömülü olduğu bir kart mevcut değil ise, bu programları kendi bünyesi dahilindeki Kasırga Öykünücüsü bölümünde teker teker çalıştırır ve her buyruğun işlenmesi sonucunda yazmaçlarda ve bayraklarda saklanan değerleri kullanıcıya gösterir ÇEVİRİCİNİN YAZILMA AMACI VE GEREKLİLİĞİ, İHTİYAÇLARLA BERABER GELİŞME AŞAMASI, YAPABİLDİKLERİ Tulpar ın yazılma fikri Kasırga işlemcisi nin ortaya çıkması ile beraber geldi. Her alanda olduğu gibi bir ürünün testi, oluşturulmasından daha önemli olduğundan, Kasırga nın test aşamasını kolaylaştırmak için bir çeviriciye ihtiyaç olduğu tespit edildi. Bu çeviricinin yapması gereken, Kasırga İşlemcisi nin buyruk kümesi dahilinde yazılan assembly kodunu makine koduna çevirmekti. Dolayısıyla bu şekilde örnek programlar yazmak ve bunları Kasırga üzerinde test etmek çok daha kolay olacaktı. Bu ihtiyaç karşılandıktan sonra işlemcinin çalışacak hale gelmesi için gerçekleştirilen memory initialization aşamasının kolaylaştırılması amacıyla, istenirse makine kodu belirli bir formata göre.coe uzantılı bir dosya oluşturabilecekti. Daha sonra karşılaşılacak temel ihtiyaçlara kadar Tulpar bir yandan görsel anlamda geliştirilirken, bir yandan da bünyesindeki hata tespit sistemi ile yazılan kodun kontrolünü gerçekleştirip syntax hatalarını bildirdiği bir alana sahip oldu. Görsel anlamda ise, kullanıcının hem göz zevkine hitap etmek hem de kullanılabilirliği artırmak amacıyla text editörlerdeki özellikler (anlamlı sözcüklerin renklendirilmesi, satır sayısını gösteren gri fonlu sol bölüm ve comment özelliği gibi) eklendi. Sırada Tulpar ın gelişiminin neredeyse en önemli kısmı vardı; Kasırga ya doğrudan bağlantı. Tulpar, makine koduna çevirmiş olduğu 16 bitlik buyrukları RS 232 portu üzerinden seri bir şekilde Kasırga ya gönderiyor. Bu özellik muhtemelen Tulpar ın evrimi sırasındaki en önemli gelişim oldu. Bir diğer önemli eklenti ise Kasırga dilinde program yazmayı, dili hiç bilmeyen bir kişi için oldukça kolay bir hale getiren Öykünücü bölümü oldu. Kasırga İşlemcisi ne bağlanmadan işlemcinin yapacaklarını her aşamada yazmaçlardaki değerleri göstererek gerçekleştiren Öykünücü istenildiği takdirde her öykündür tuşuna basıldığında bir buyruk işleyebilir, veya arzu edilen bir hıza göre (10ms ve 1s arasında) otomatik olarak çalıştırılabilmektedir. Yardım bölümünde bulunan 4 adet örnek program ile de Tulpar ın çalışma stilini anlamak ve alışmak çok kolay bir hale gelmiştir ÇEVİRİCİNİN KURULUMU Çevirici nin kurulumu oldukça basittir. Sıkıştırılmış bir şekilde gelen Tulpar paketi açıldığında içinden iki adet.xml, iki adet.dll, bir adet de Tulpar.exe dosyası çıkmaktadır. 2.dll dosyasının \Windows\System32 adresine yerleştirilmesi gerekmektedir. Diğer üç dosyanın ise her zaman aynı klasörde olduğuna özen gösterilmelidir. Çeviri den sonra arzu edildiği takdirde oluşturulan.txt veya.coe uzantılı dosyalar Tulpar.exe nin bulunduğu adreste oluşturulmaktadır TULPAR IN KULLANIMI Tulpar ın kullanımı her yeni sürümde artarak şu anda sadece buyruk kümesi hakkında yüzeysel bir bilgiye sahip bir kişinin rahatlıkla kullanabileceği bir kolaylık seviyesine erişmiştir.

11 Tulpar bünyesinde iki adet bölüm bulunmaktadır; sol bölüm assembly dilinde kodun yazılması ve çevrilmesi, sağ bölüm ise bu kodlardaki her buyruğun Tulpar kapsamında çalıştırılması, daha özel ve doğru bir ifadeyle; öykündürülmesinin gerçekleşmesinden sorumludur. Sol bölümde üç adet metin kutusu bulunmaktadır. Bir tanesi assembly dilinde kodun yazılması, hemen sağındaki bu kodun makine koduna çevrilmiş halinin ortaya çıkması için kullanılırken, alttaki geniş metin kutusu ile bu çevirim işlemi sırasında ortaya çıkan hataların bildirilmesi görevini üstlenmiştir. Öykünücü bölümünde, sol tarafta yazılan kodların, Kasırga İşlemcisi üzerinde çalıştırılmış gibi, yazmaçlardaki değerler takip edilebilir. Ayrıca kodun gidişhatını takip etmek amacıyla önceki iki buyruğun çalıştırılması sonucunda yazmaçlarda ve bayraklarda saklanan değerler de görülmektedir. Ayrıca Ayarlar bölümünden, Öykünme şekli olarak manuel veya otomatik seçilebilmektedir. Manuel seçildiği takdirde Öykündür tuşuna her basıldığında bir buyruk çalıştırılır ve yazmaçlardaki ve bayraklardaki değerler gösterilir. Otomatik seçeneğinde ise Öykünücü 500ms varsayılan frekans aralığında çalıştırılır, isstenirse Öykünücü Hızı bölümünden bu hız 10ms ve 1000s arasında değiştirilebilir. Ayarlar bölümünden Dil e girildiğinde Kasırga İşlemcisi buyruk kümesi kapsamında yazılacak olan assembly kodunun dili Türkçe veya İngilizce olarak seçilebilmektedir. Yine Ayarlar bölümünde yer alan Bağlantı kısmında ise RS 232 ile ilgili bağlantı ayarları yapılabilmektedir. Aynı zamanda menüdeki Çeviri Yönü nden istenilen yön seçilerek assembly kodundan makine koduna veya makine kodundan assembly koduna çeviri yapılabilmektedir ÖYKÜNÜCÜ, ÖYKÜNÜCÜ KULLANIMI Öykünücünün kullanımı oldukça basit olup, kodlamaya yardımı da yeterince fazladır. Program yazmak veya bir programı test etmek isteyen kişi, assembly dilinde yazılmış olan kodu önce makine koduna çevirir, daha sonra aktifleşen öykünücü fonksiyonu sayesinde öykün butonuna basıldığında ilk buyruk çekilir, işlenir ve yazmaç ve bayraklarda saklanan değerler öykünücü bölümünde gösterilir. Ayarlar kısmından, Öykünme şekli nden otomatik seçildiği takdirde, hız ayarı istenildiği gibi değiştirilerek buyruklar seçilen milisaniye aralığında çalıştırılır ve bu sıklıkla da yazmaçlarda ve bayraklarda saklanan değerler takip edilir. Bunun hem Kasırga dilinde kodlama yazmak isteyen hem de önceden yazılmış herhangi bir kodun çalışmasını kontrol etmek ve geliştirmek isteyen kişilerin işini oldukça kolaylaştırmaktadır TULPAR A GELECEKTE EKLENECEK ÖZELLİKLER Henüz gerçekleştirilmiş olan Öykünücü özelliği kapsamında buyrukların işlenmesinin kontrolünde yardımcı olan (Yazmaçlarda ve Bayraklarda saklanan değerleri gösteren) bölümün Kasırga İşlemcisi ne doğrudan bağlandığı zaman da kullanılabilmesi, her buyruğun sadece Öykünücü de değil İşlemci nin kendisinde de işlenip sonuçların görülmesinin sağlanması. Program sonlandıktan sonra İşlemci nin başarımı (ortalama işlemci hızı, toplam süre, her yazmacın kullanım sıklığı, belleğe erişimde geçen sürenin yüzdesi ve dallanma tahminlerinde yapılan hata yüzdesi gibi) ile ilgili bazı bilgilerin karttan çekilmesi, bazılarının da elde edilen veriler kapsamında Tulpar ın kendisi tarafından oluşturulması ve bu tür istatistiklerin kullanıcıya bildirilmesi. Kullanılabilirliğin en üst düzeye çıkarılabilmesi amacıyla görsel açıdan bir takım yenilikler. Kasırga buyruk kümesinin olası değişimine göre, yeni buyruk kümesi üzerinde de çalışabilme seçeneği. Ayrıca Tulpar paketinin içinden çıkan xml ve dll uzantılı

12 dosyaların kullanıcı kontrolü dahilinde uygun yerlere yerleştirilmesi yerine, Tulpar ın kurulum sihirbazı ile ilgili kişinin bilgisayarına yüklenmesi. 4. ÖRNEK KOD - OBEB Aşağıda verilen iki sayı için Ortak Bölenlerin En Büyüğü nü hesaplayan bir Kasırga programı verilmiştir: movi 12 mov r3,r0 movi 18 mov r4,r0 cmp r3,r4 bgt 4 mov r5,r3 mov r3,r4 mov r4,r5 mov r1,r3 mov r2,r4 movi 0 mov r5,r0 movi 1 sub r1,r1,r2 add r5,r5,r0 cmp r1,r2 bgt -3 cmp r1,r2 sub r0,r1,r2 beq -5 cmp r1,r2 blt 1 mov r3,r4 mov r4,r1 mov r7,r3 movi 0 mov r6,r0 cmp r4,r6 bgt -20 mov r0 r7 out ba 32 ; 1.sayı r3'e yüklenir ; 2.sayı r4'e yüklenir ; 2.sayı büyükse bu işlem yapılır ; 2.sayı büyük değilse normal işlem yerine atlar ; bölümü tutmak için ; artırmak için ; bölümün bulunması için her işlemde 1 artırılır ; r3 büyükse ; eşitlerse ; r3 küçükse, x(1.sayı) in y(2.sayı) ye bölümünde kalanı r1 de bulduk ; y yi x e yükle ; kalanı y ye yükle ; x'i yükle obeb i(r7) bul ; y nin 0'a eşitliğini kontrol etmek amaçlı ; y 0 dan büyükse 19 önceki komuta git ; obeb sayısı yazdırılır

KASIRGA 4. GELİŞME RAPORU

KASIRGA 4. GELİŞME RAPORU KASIRGA 4. GELİŞME RAPORU 14.07.2008 Ankara İçindekiler İçindekiler... 2 Giriş... 3 Kasırga Birimleri... 3 Program Sayacı Birimi... 3 Bellek Birimi... 3 Yönlendirme Birimi... 4 Denetim Birimi... 4 İşlem

Detaylı

KASIRGA -4 Buyruk Tasarımı Belgesi. 30.04.2008 Ankara

KASIRGA -4 Buyruk Tasarımı Belgesi. 30.04.2008 Ankara KASIRGA -4 Buyruk Tasarımı Belgesi 30.04.2008 Ankara 1 İŞLEMLER 00000000 SYSCALL 00000001 HLT 00000010 DEBUG 00000011 CONTINUE S-TİPİ 00000100 NOP 00000101 IN 00000110 OUT 00000111 BRET 00001000 ADD 00001001

Detaylı

KASIRGA PROJESİ 2. GELİŞME RAPORU

KASIRGA PROJESİ 2. GELİŞME RAPORU KASIRGA PROJESİ 2. GELİŞME RAPORU 29.04.2008 Ankara İçindekiler 1.GİRİŞ... 4 2. KASIRGA İŞLEMCİSİ... 5 2.1 Kasırga Buyruk Tasarımı... 5 2.2 Kasırga 1... 7 2.2.1 Kasırga 1 Sanal Tasarım... 10 2.2.2 Kasırga

Detaylı

Bilgisayarda Programlama. Temel Kavramlar

Bilgisayarda Programlama. Temel Kavramlar Bilgisayarda Programlama Temel Kavramlar KAVRAMLAR Programlama, yaşadığımız gerçek dünyadaki problemlere ilişkin çözümlerin bilgisayarın anlayabileceği bir biçime dönüştürülmesi / ifade edilmesidir. Bunu

Detaylı

K uark projesi. Temel Özellikler :

K uark projesi. Temel Özellikler : K uark projesi Temel Özellikler : Kuark işlemcisi 16 bit kelime uzunluğuna sahip bir işlemcidir. Veri ve komut belleği aynıdır ve en fazla 4 Gigabyte bellek adresleyebilir. İşlemcimiz paralel çalışabilecek

Detaylı

İvme VGA, İvme s_2.1 fiziksel işlemci çekirdeğinin, çalışan iç yapısının herhangi bir simülasyon olmaksızın fiziksel olarak dış dünyaya aktarımıdır.

İvme VGA, İvme s_2.1 fiziksel işlemci çekirdeğinin, çalışan iç yapısının herhangi bir simülasyon olmaksızın fiziksel olarak dış dünyaya aktarımıdır. 1 İVME VGA İvme VGA, İvme s_2.1 fiziksel işlemci çekirdeğinin, çalışan iç yapısının herhangi bir simülasyon olmaksızın fiziksel olarak dış dünyaya aktarımıdır. Genel olarak yazmaçlar, hafıza elemanlarından

Detaylı

Mikroçita. Mikroçita Rapor 2:

Mikroçita. Mikroçita Rapor 2: Mikroçita Rapor 2: İşlemci projemizle ilgili olarak hazırlamış olduğumuz bu ikinci raporda öncelikli olarak vhdl kullanarak tasarladığımız işlemcimizin genel çalışmasını ilk rapora göre daha ayrıntılı

Detaylı

Tüm personel kendi KEP hesapları üzerinden mevcut ve önceki maaş bordrolarını görüntüleyebilecektir.

Tüm personel kendi KEP hesapları üzerinden mevcut ve önceki maaş bordrolarını görüntüleyebilecektir. Mikro e-bordro Nedir? E-Bordro Uygulaması, Mikro Personel Programı tarafından oluşturulan maaş bordrolarının, otomatik olarak personel kartında tanımlı KEP adresi üzerinden toplu gönderimini sağlar. Mikro

Detaylı

İNTERNET TARAYICISI ÜZERİNDE ÇALIŞABİLEN ELEKTRİK TALEP TAHMİNİ ANALİZ PROGRAMI

İNTERNET TARAYICISI ÜZERİNDE ÇALIŞABİLEN ELEKTRİK TALEP TAHMİNİ ANALİZ PROGRAMI TMMOB TÜRKİYE VI. ENERJİ SEMPOZYUMU - KÜRESEL ENERJİ POLİTİKALARI VE TÜRKİYE GERÇEĞİ İNTERNET TARAYICISI ÜZERİNDE ÇALIŞABİLEN ELEKTRİK TALEP TAHMİNİ ANALİZ PROGRAMI Barış Sanlı Dünya Enerji Konseyi Türk

Detaylı

13 Aralık 2007. Đlgili Versiyon/lar : ETA:SQL, ETA:V.8-SQL. Đlgili Modül/ler : Raporlar. Kullanıcı Tanımlı Raporlar Bölümünden Yapabildiklerimiz

13 Aralık 2007. Đlgili Versiyon/lar : ETA:SQL, ETA:V.8-SQL. Đlgili Modül/ler : Raporlar. Kullanıcı Tanımlı Raporlar Bölümünden Yapabildiklerimiz 13 Aralık 2007 Đlgili Versiyon/lar : ETA:SQL, ETA:V.8-SQL Đlgili Modül/ler : Raporlar KULLANICI TANIMLI RAPORLAR Kullanıcı Tanımlı Raporlar Bölümünden Yapabildiklerimiz Kendi isteklerinize özel rapor tasarımları

Detaylı

Şekil. 64 Kelimelik Yığıtın Blok Şeması

Şekil. 64 Kelimelik Yığıtın Blok Şeması 1 YIĞIT (STACK) KURULUMU Çoğu bilgisayarın MİB de yığıt veya LIFO (Last In First Out) bulunur. Yığıt bir bellek parçasıdır ve son depolanan bilgi ilk geri dönen bilgi olur. Yığıta aktarılan son bilgi yığıtın

Detaylı

MPLAB IDE v7.60 PROGRAMI KULLANIMI

MPLAB IDE v7.60 PROGRAMI KULLANIMI MPLAB IDE v7.60 PROGRAMI KULLANIMI MPLAB IDE programı mikroişlemciler için hazırlanmış bir derleyici programdır. Microchip firması tarafından hazırlanmıştır. (Resim 1) MPLAB programı assembly dilinde simulasyon,

Detaylı

Seri Takibi Yenilikleri

Seri Takibi Yenilikleri Seri Takibi Yenilikleri Ürün Grubu [X] Fusion@6 [X] Fusion@6 Standard [X] Entegre@6 Kategori Versiyon Önkoşulu [X] Yeni Fonksiyon @6 Uygulama Yardımcı Programlar/ Şirket/Şube/Parametre Tanımlamaları bölümünde

Detaylı

T.C. KIRIKKALE ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ YAPAY SİNİR AĞLARI. Doç.Dr. Necaattin BARIŞÇI FİNAL PROJESİ

T.C. KIRIKKALE ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ YAPAY SİNİR AĞLARI. Doç.Dr. Necaattin BARIŞÇI FİNAL PROJESİ T.C. KIRIKKALE ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ YAPAY SİNİR AĞLARI Doç.Dr. Necaattin BARIŞÇI YAPAY SİNİR AĞLARI İLE KORONER ARTER HASTALIĞI RİSK Öğrenci : SİNEM ÖZDER Numarası : 118229001004

Detaylı

BQ101 GSM Access Kontrol Ünitesi Windows Programı. Kullanım Kılavuzu. Doküman Versiyon: 1.1 16.11.2015 BQTEK

BQ101 GSM Access Kontrol Ünitesi Windows Programı. Kullanım Kılavuzu. Doküman Versiyon: 1.1 16.11.2015 BQTEK BQ101 GSM Access Kontrol Ünitesi Windows Programı Kullanım Kılavuzu Doküman Versiyon: 1.1 16.11.2015 BQTEK İçindekiler İçindekiler... 2 1. Genel Bilgi... 3 2. Program Ayarlarının ve Cihaz Bağlantısının

Detaylı

Q-Biz İş Zekası 5.1. Versiyon Yenilikleri

Q-Biz İş Zekası 5.1. Versiyon Yenilikleri Q-Biz İş Zekası 5.1 Versiyon Yenilikleri İçindekiler Q-Biz İş Zekası 5.1 Versiyon Yenilikleri... 1 1. Performans Gösterim Sahası... 3 2. Anahtar Performans Tanımları (KPI)... 5 3. Dashboard Özellikleri...

Detaylı

UZAKTAN EĞİTİM MERKEZİ

UZAKTAN EĞİTİM MERKEZİ ÜNİTE 2 VERİ TABANI İÇİNDEKİLER Veri Tabanı Veri Tabanı İle İlgili Temel Kavramlar Tablo Alan Sorgu Veri Tabanı Yapısı BAYBURT ÜNİVERSİTESİ UZAKTAN EĞİTİM MERKEZİ BİLGİSAYAR II HEDEFLER Veri tabanı kavramını

Detaylı

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme PROGRAMIN ADI DERSIN KODU VE ADI DERSIN ISLENECEGI DÖNEM HAFTALIK DERS SAATİ DERSİN SÜRESİ ENDÜSTRİYEL ELEKTRONİK MİK.İŞLEMCİLER/MİK.DENETLEYİCİLER-1 2. Yıl, III. Yarıyıl (Güz) 4 (Teori: 3, Uygulama: 1,

Detaylı

C Dersleri Bölüm 3 : Program akışı

C Dersleri Bölüm 3 : Program akışı İzmir Ekonomi Üniversitesi Bilgisayar Topluluğu www.ieubt.org C Dersleri Bölüm 3 : Program akışı Sorularınız için : programlama@ieubt.org Hazırlayan : Görkem PAÇACI (gorkem.pacaci@std.ieu.edu.tr) C Program

Detaylı

2005-2009 Tarihleri Arasında Avkom da Yazdığım Programlar 1 Avkomix Başlama Tarihi: Haziran 2007 Database LKS (Muhasebe Programından Gelen Veriler, Fatura, Konsinye, Banka, vb.) AvkomERP.mdb (Kendi veritabanımız,

Detaylı

ÜNİT E ÜNİTE GİRİŞ. Algoritma Mantığı. Algoritma Özellikleri PROGRAMLAMA TEMELLERİ ÜNİTE 3 ALGORİTMA

ÜNİT E ÜNİTE GİRİŞ. Algoritma Mantığı. Algoritma Özellikleri PROGRAMLAMA TEMELLERİ ÜNİTE 3 ALGORİTMA PROGRAMLAMA TEMELLERİ ÜNİTE 3 ALGORİTMA GİRİŞ Bilgisayarların önemli bir kullanım amacı, veri ve bilgilerin kullanılarak var olan belirli bir problemin çözülmeye çalışılmasıdır. Bunun için, bilgisayarlar

Detaylı

DESTEK DOKÜMANI. Ürün : Tiger Enterprise/ Tiger Plus/ Go Plus/Go Bölüm : Kurulum İşlemleri

DESTEK DOKÜMANI. Ürün : Tiger Enterprise/ Tiger Plus/ Go Plus/Go Bölüm : Kurulum İşlemleri LOGO PROGRAM KURULUMU VE AYARLARI Logo programlarının yüklemesi için kullanılacak,setup dosyaları ftp://download.logo.com.tr/ adresinden indirilerek yapılır. Örneğin Kobi ürünleri için; ftp://download.logo.com.tr/windows/kobi/guncel/go_plus/klasöründen

Detaylı

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK Mikroişlemci HAFTA 1 HAFIZA BİRİMLERİ Program Kodları ve verinin saklandığı bölüm Kalıcı Hafıza ROM PROM EPROM EEPROM FLASH UÇUCU SRAM DRAM DRRAM... ALU Saklayıcılar Kod Çözücüler... GİRİŞ/ÇIKIŞ G/Ç I/O

Detaylı

Üst Düzey Programlama

Üst Düzey Programlama Üst Düzey Programlama Yazılımda Günlükleme (Logging) Üst Düzey Programlama-ders07/ 1 Günlükleme -Logging Tüm büyük çaplı uygulamalarda günlükleme(logging) ihtiyaçları bulunmaktadır. Bir uygulamanın hata

Detaylı

WINDOWS VE PARDUS İÇİN İMZAGER KURULUM TALİMATI

WINDOWS VE PARDUS İÇİN İMZAGER KURULUM TALİMATI Doküman Kodu Yayın Numarası Yayın Tarihi TALM-001-053 0 03.01.2012 DEĞİŞİKLİK KAYITLARI Yayın No Yayın Nedeni Yayın Tarihi 0 İlk Çıkış 03.01.2012 TALM-001-053 03.01.2012 2/15 İÇİNDEKİLER 1 Giriş...4 2

Detaylı

PLS2 KOMUTU. Giriş PLS2 Komutunun Açıklanması Sonuç

PLS2 KOMUTU. Giriş PLS2 Komutunun Açıklanması Sonuç Giriş PLS2 Komutunun Açıklanması Sonuç GİRİŞ Bu dökümanda PLS2 komutunun nasıl kullanılacağı anlatılacaktır.pls2, çoğunlukla pulse ile servo sürme uygulamalarında kullanılır. Kısaca bahsedilecek olunursa

Detaylı

Mimari Esaslar. Mikroişlemcinin mimari esasları; Kaydediciler Veriyolları İş hatları dır.

Mimari Esaslar. Mikroişlemcinin mimari esasları; Kaydediciler Veriyolları İş hatları dır. Mimari Esaslar Mikroişlemcinin mimari esasları; Kaydediciler Veriyolları İş hatları dır. Bu unsurların büyüklüğü, sayısı ve yapısı o işlemcinin yeteneklerini belirler. Mimari farlılıklarda; bu konularda

Detaylı

BİLGİSAYAR MİMARİSİ. Mimariye Giriş. Özer Çelik Matematik-Bilgisayar Bölümü

BİLGİSAYAR MİMARİSİ. Mimariye Giriş. Özer Çelik Matematik-Bilgisayar Bölümü BİLGİSAYAR MİMARİSİ Mimariye Giriş Özer Çelik Matematik-Bilgisayar Bölümü Ders Bilgileri Not Değerlendirmesi: Pop-up Quiz/Ödev : % 20 Ara Sınav : % 30 Final : % 50 Ders İçeriği Temel Bilgisayar Mimarisi

Detaylı

ELN1001 BİLGİSAYAR PROGRAMLAMA I

ELN1001 BİLGİSAYAR PROGRAMLAMA I ELN1001 BİLGİSAYAR PROGRAMLAMA I DEPOLAMA SINIFLARI DEĞİŞKEN MENZİLLERİ YİNELEMELİ FONKSİYONLAR Depolama Sınıfları Tanıtıcılar için şu ana kadar görülmüş olan özellikler: Ad Tip Boyut Değer Bunlara ilave

Detaylı

KULUÇKA PRG. VERSION 14.04 KULLANMA KLAVUZU

KULUÇKA PRG. VERSION 14.04 KULLANMA KLAVUZU AGRİ ELEKTRİK ELEKTRONİK MAKİNE SAN.TİC.LTD.ŞTİ. KULUÇKA PRG. VERSION 14.04 KULLANMA KLAVUZU EKİM 2014 AKHİSAR 1 ) Kuluçka Makinesi Sürüm 14.04 ın Temel Özellikleri 1. Gelişim makineleri 115200,57600,38400,19200,9600

Detaylı

HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları

HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları Ders Adı Ders Kodu Dönemi Ders Saati Uygulama Saati Laboratuar Saati Kredi AKTS HDL ile Gelişmiş Sayısal Tasarım EE 425 Her İkisi 2 2 0 3 5 Ön Koşul

Detaylı

1.1. Yazılım Geliştirme Süreci

1.1. Yazılım Geliştirme Süreci Kazanımlar Bu bolümde; Yazılım geliştirme sureci konusunda bilgi sahibi olacak, Yazılım geliştirme surecinde gerekli olan araçları tanıyacak, Python dilinde program geliştirme ortamlarını inceleyebileceksiniz.

Detaylı

E-Netsis.Net Yenilikleri

E-Netsis.Net Yenilikleri E-Netsis.Net Yenilikleri Ürün Grubu [X] Fusion@6 [X] Fusion@6 Standard [X] Entegre@6 Kategori Versiyon Önkoşulu Uygulama [X] Yeni Fonksiyon @6 E-Netsis.Net parametrelerinin başka şubeden okunması Bu uygulama,

Detaylı

SIMAN KULLANIM KILAVUZU

SIMAN KULLANIM KILAVUZU SIMAN KULLANIM KILAVUZU Önder Öndemir SIMAN Simülasyon programı Model Çatı ve Deneysel Çatı olmak üzere iki kısımdan oluşur. Model çatı genel itibariyle modullerin ve işlem bloklarının yazıldığı kısımdır.

Detaylı

KASIRGA CPU TURKEY 1. Ve 2. Aşama Raporu

KASIRGA CPU TURKEY 1. Ve 2. Aşama Raporu KASIRGA CPU TURKEY 1. Ve 2. Aşama Raporu 31.07.2008 Ankara İçindekiler 1. Kasırga İşlemcisi... 3 1.1. Mimari Tasarımı... 3 1.1.1. Boru Hattı... 3 1.1.2. Yönlendirme... 3 1.1.3. Dallanma Tahmini... 4 1.1.4.

Detaylı

Mikroişlemcilerde Aritmetik

Mikroişlemcilerde Aritmetik Mikroişlemcilerde Aritmetik Mikroişlemcide Matematiksel Modelleme Mikroişlemcilerde aritmetik işlemler (toplama, çıkarma, çarpma ve bölme) bu iş için tasarlanmış bütünleşik devrelerle yapılır. Bilindiği

Detaylı

Zirve Bilgi Tenolojileri TUİK A101 Form Giriş Klavuzu V1.1.1

Zirve Bilgi Tenolojileri TUİK A101 Form Giriş Klavuzu V1.1.1 Zirve Bilgi Tenolojileri TUİK A101 Form Giriş Klavuzu V1.1.1 TÜİK (Türkiye İstatistik Kurumu) tarafından her sene kağıt ortamında doldurularak verilen Yıllık İş İstatistikleri Sanayi ve Hizmet Araştırması

Detaylı

TBİL-405 Mikroişlemci Sistemleri Bölüm 2 1- % %01010 işleminin sonucu hangisidir? % %11000 %10001 %10101 %00011

TBİL-405 Mikroişlemci Sistemleri Bölüm 2 1- % %01010 işleminin sonucu hangisidir? % %11000 %10001 %10101 %00011 TBİL-405 Mikroişlemci Sistemleri Bölüm 2 1- %11010 - %01010 işleminin sonucu hangisidir? % 10000 %11000 %10001 %10101 %00011 2- %0101 1100 sayısının 1 e tümleyeni hangisidir? % 1010 0111 %11010 0011 %1010

Detaylı

2011 Bahar Dönemi. Öğr.Gör. Vedat MARTTİN

2011 Bahar Dönemi. Öğr.Gör. Vedat MARTTİN 2011 Bahar Dönemi Öğr.Gör. Vedat MARTTİN ADRESLEME YÖNTEMLERİ Komut yazımında en önemli konulardan biri, adresleme yöntemidir. Adresleme yöntemi, işlenenin nerede bulunacağını belirtmek için kullanılan

Detaylı

Dinamik Kodlama. [X] Fusion@6. [X] Yeni Fonksiyon

Dinamik Kodlama. [X] Fusion@6. [X] Yeni Fonksiyon Dinamik Kodlama Ürün Grubu Kategori Versiyon Önkoşulu [X] Fusion@6 [X] Yeni Fonksiyon @6 Uygulama @6 Serisi ürünlerde, kullanıcı arabirimlerinin her yerine eklenen dinamik kodlama özelliği ile, programın

Detaylı

Gidilen sayfadan bir önceki sayfaya geçilir. Şekil Git İşlemi diyalog kutusu

Gidilen sayfadan bir önceki sayfaya geçilir. Şekil Git İşlemi diyalog kutusu BÖLÜM 6 6. BELGELER ÜZERİNDE YAPILABİLECEK İŞLEMLER 6.1. Git işlemi Yazılan belgeler rapor, ödev, kitap tez gibi sayfalarca uzunlukta olabilir. Sayfalarca uzunluktaki belgede herhangi bir sayfaya gitmek

Detaylı

Elektra Raporlama Sistemi Sunumu

Elektra Raporlama Sistemi Sunumu Elektra Raporlama Sistemi Sunumu Raporlama Araçları Açıklamaları: 1-Seçilen nesneyi raporlar. 2-Yeni boş bir rapor eklemeyi sağlar. 3-Seçilen raporları düzenlemeyi sağlar. 4-Seçilen raporu siler. 5-Seçilen

Detaylı

SM 100 Terazi Ayarları. Digi SM 100. SM 100 Terazi Ayarları

SM 100 Terazi Ayarları. Digi SM 100. SM 100 Terazi Ayarları Digi SM 100 SM 100 Terazi Ayarları Digi SM 100 Terazi Ayarları Teraziyi ayarlamaya başlamak için öncelikle X S Z ENTER Raporlar Program Ayarlar FONKSIYON Bölümlerine geçiş yapabilmemiz gerekmektedir. Bunun

Detaylı

Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ. MİB Yapısı. MİB in İç Yapısı. MİB Altbirimleri. MİB in İç Yapısı

Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ. MİB Yapısı. MİB in İç Yapısı. MİB Altbirimleri. MİB in İç Yapısı Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ Doç. Dr. Şule Gündüz Öğüdücü http://ninova.itu.edu.tr/tr/dersler/bilgisayar-bilisim-fakultesi/0/blg-1/ Merkezi İşlem Birimi (MİB): Bilgisayarın temel birimi

Detaylı

Kapı Tipi Metal Arama Dedektörleri

Kapı Tipi Metal Arama Dedektörleri Kapı Tipi Metal Arama Dedektörleri Ürün Teknik Özellikleri Teknoloji : DSP (Dijital Sinyal İşleme Teknolojisi) Zone Sayısı : 8 Bölge (Multizone), Her Bölge için Farklı Hassasiyet Atama özelliği 0-999 arası

Detaylı

MPLAB PROGRAM GELİŞTİRME ORTAMINDA CC8E İLE KOD HAZIRLAMA HAZIRLAYAN: DOÇ. DR. HAMİT ERDEM ARAŞ. GÖR. GENCER TULAY

MPLAB PROGRAM GELİŞTİRME ORTAMINDA CC8E İLE KOD HAZIRLAMA HAZIRLAYAN: DOÇ. DR. HAMİT ERDEM ARAŞ. GÖR. GENCER TULAY MPLAB PROGRAM GELİŞTİRME ORTAMINDA CC8E İLE KOD HAZIRLAMA HAZIRLAYAN: DOÇ. DR. HAMİT ERDEM ARAŞ. GÖR. GENCER TULAY MPLAB çalışma ortamında assembly veya CC8E komutları kullanılarak kaynak dosyaları oluşturulabilir

Detaylı

Datasoft Yazılım Genel Muhasebe Programı Defter Dökümleri Kılavuzu

Datasoft Yazılım Genel Muhasebe Programı Defter Dökümleri Kılavuzu Datasoft Yazılım Genel Muhasebe Programı Defter Dökümleri Kılavuzu Uygulamanın Đçeriği: Gümrük ve Ticaret Bakanlığı ile Maliye Bakanlığı 19 Aralık 2012 tarih ve 28502 sayılı Resmi gazetede yayınladığı

Detaylı

Bellekler. Mikroişlemciler ve Mikrobilgisayarlar

Bellekler. Mikroişlemciler ve Mikrobilgisayarlar Bellekler 1 Bellekler Ortak giriş/çıkışlara, yazma ve okuma kontrol sinyallerine sahip eşit uzunluktaki saklayıcıların bir tümdevre içerisinde sıralanmasıyla hafıza (bellek) yapısı elde edilir. Çeşitli

Detaylı

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür.

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür. İŞLEMCİLER (CPU) Mikroişlemci Nedir? Mikroişlemci, hafıza ve giriş/çıkış birimlerini bulunduran yapının geneline mikrobilgisayar; CPU' yu bulunduran entegre devre çipine ise mikroişlemci denir. İşlemciler

Detaylı

Bitirme Ödevi Sunumu PLATFORM BAĞIMSIZ BENZETİM PROGRAMI. Danışman : Yrd.Doç.Dr. D Feza BUZLUCA Gökhan Akın ŞEKER

Bitirme Ödevi Sunumu PLATFORM BAĞIMSIZ BENZETİM PROGRAMI. Danışman : Yrd.Doç.Dr. D Feza BUZLUCA Gökhan Akın ŞEKER Bitirme Ödevi Sunumu BERKELEY RISC I işlemcisi İÇİN PLATFORM BAĞIMSIZ BENZETİM PROGRAMI Danışman : Yrd.Doç.Dr. D Feza BUZLUCA 0495 0639 Sunum Planı Ödev konusu hakkında Berkeley RISC I işlemcisi hakkında

Detaylı

E-VT ELEKTRONİK VERİ TRANSFERİ UYGULAMASI KULLANIM KILAVUZU (TUIK A101)

E-VT ELEKTRONİK VERİ TRANSFERİ UYGULAMASI KULLANIM KILAVUZU (TUIK A101) E-VT ELEKTRONİK VERİ TRANSFERİ UYGULAMASI KULLANIM KILAVUZU (TUIK A101) LOGO YAZILIM SAN. VE TİC. A.Ş. GEBZE ORGANİZE SANAYİ BÖLGESİ TEKNOPARK NO:609 GEBZE 41480 KOCAELİ TURKEY T: +90 262 679 80 00 F:

Detaylı

PULSE ÇIKIŞI İLE SERVO MOTOR KONTROLÜ. Giriş PLS2 Komutunun Açıklanması CP1H ve Smart Step 2 Kablo Bağlantıları Sonuç

PULSE ÇIKIŞI İLE SERVO MOTOR KONTROLÜ. Giriş PLS2 Komutunun Açıklanması CP1H ve Smart Step 2 Kablo Bağlantıları Sonuç PULSE ÇIKIŞI İLE SERVO MOTOR KONTROLÜ Giriş PLS2 Komutunun Açıklanması CP1H ve Smart Step 2 Kablo Bağlantıları Sonuç GİRİŞ Bu dökümanda CP1H plc sinden pulse çıkışı alınarak Smart Step 2 üzerinden nasıl

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Processor organization Register organization Instruction cycle 2 Processor organization İşlemci

Detaylı

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi Bil101 Bilgisayar Yazılımı I Bilgisayar Yüksek Mühendisi Kullanıcıdan aldığı veri ya da bilgilerle kullanıcının isteği doğrultusunda işlem ve karşılaştırmalar yapabilen, veri ya da bilgileri sabit disk,

Detaylı

BSOFTefat E-FATURA ÇÖZÜMÜ

BSOFTefat E-FATURA ÇÖZÜMÜ Gelir idaresine yapılan başvuruya göre POROSefat e-fatura alım/gönderim işlemlerinde kullanıcılara iki farklı seçenek sunulmaktadır. 1. E-Fatura GİB Dosya Aktarım modülü: Gelir idaresinden sadece e-fatura

Detaylı

MİCROSOFT WORD PROGRAMI ÇALIŞMA KAĞIDI

MİCROSOFT WORD PROGRAMI ÇALIŞMA KAĞIDI ARAYÜZ MİCROSOFT WORD PROGRAMI ÇALIŞMA KAĞIDI Baskı Önizleme Microsoft Word 2007 Hızlı Yeni Geri Al Yinele Yazdır Aç Kaydet (İleri Al) Hızlı Erişim Çubuğu Ofis Düğmesi Word programdaki tüm komutları hızlı

Detaylı

MİKROBİLGİSAYAR SİSTEMLERİ VE ASSEMBLER

MİKROBİLGİSAYAR SİSTEMLERİ VE ASSEMBLER BÖLÜM 2 INTEL AİLESİNİN 8 BİTLİK MİKROİŞLEMCİLERİ 2.1 8080 MİKROİŞLEMCİSİ Intel 8080, I4004, I4040 ve I8008 in ardından üretilmiştir ve 8 bitlik mikroişlemcilerin ilkidir ve 1974 te kullanıma sunulmuştur.

Detaylı

Mikro Yazılımevi Yazılım Hizmetleri Bilgisayar Sanayi ve Ticaret A.Ş. e-vt (Elektronik Veri Transferi) ile Entegrasyon Kılavuzu

Mikro Yazılımevi Yazılım Hizmetleri Bilgisayar Sanayi ve Ticaret A.Ş. e-vt (Elektronik Veri Transferi) ile Entegrasyon Kılavuzu Mikro Yazılımevi Yazılım Hizmetleri Bilgisayar Sanayi ve Ticaret A.Ş. e-vt (Elektronik Veri Transferi) ile Entegrasyon Kılavuzu TÜİK (Türkiye İstatistik Kurumu) tarafından kalkınma planları ve yıllık programların

Detaylı

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN Bahar Dönemi Öğr.Gör. Vedat MARTTİN Merkezi İşlemci Biriminde İletişim Yolları Mikroişlemcide işlenmesi gereken komutları taşıyan hatlar yanında, işlenecek verileri taşıyan hatlar ve kesme işlemlerini

Detaylı

KonakSis Müşteri Takip ve Kimlik Bildirim Sistemi

KonakSis Müşteri Takip ve Kimlik Bildirim Sistemi İÇİNDEKİLER : KonakSis... 2 Hoşgeldiniz... 2 Açıklama... 2 Formlar... 3 Giriş... 3 Ana Sayfa... 4 Ofis... 5 Program Seçenekleri... 5 Tanımlar... 6 Veritabanı İşlemleri... 9 Kullanıcı Değiştir... 11 Resepsiyon...

Detaylı

Q-P 110A Kullanım Kılavuzu

Q-P 110A Kullanım Kılavuzu Q-P 110A Kullanım Kılavuzu 1.Malzeme Listesi İsim Miktar Remarks Tuştakımı 1 Kullanım kılavuzu 1 Tornavida 1 20mmx60mm özel tuştakımı Lastik tıpa 2 6mmx30mm,sıkmak için kullanılır Akıllı vida 2 4mmx28mm

Detaylı

Fatura Dinamik Kodlama İyileştirmeleri

Fatura Dinamik Kodlama İyileştirmeleri Fatura Dinamik Kodlama İyileştirmeleri Ürün Grubu Kategori Versiyon Önkoşulu [X] Redcode Enterprise [ ] Redcode Standart [ ] Entegre.NET [X] Yeni Fonksiyon 5.0 Uygulama Netsis paketlerinin tüm modüllerinin

Detaylı

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir.

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir. Bilgisayar Mimarisi İkilik Kodlama ve Mantık Devreleri Yrd.Doç.Dr. Celal Murat KANDEMİR ESOGÜ Eğitim Fakültesi - BÖTE twitter.com/cmkandemir Kodlama Kodlama (Coding) : Bir nesneler kümesinin bir dizgi

Detaylı

MIKRODENETLEYICILER. Ege Üniversitesi Ege MYO Mekatronik Programı

MIKRODENETLEYICILER. Ege Üniversitesi Ege MYO Mekatronik Programı MIKRODENETLEYICILER Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 3 Assembler Programlama ve Program Geliştirme Program Geliştirme Problem Tanımlama Bağlantı Şekli Algoritma Akış Diyagramı Kaynak

Detaylı

Enlil programını açtığımızda karşımıza gelen ilk ekrandır.bu ekranda özel tanımlanmış kullanıcı kodu ve parola ile programa giriş sağlanmaktadır.

Enlil programını açtığımızda karşımıza gelen ilk ekrandır.bu ekranda özel tanımlanmış kullanıcı kodu ve parola ile programa giriş sağlanmaktadır. Enlil programını açtığımızda karşımıza gelen ilk ekrandır.bu ekranda özel tanımlanmış kullanıcı kodu ve parola ile programa giriş sağlanmaktadır. Özel tanımlanmış kullanıcı kodu ve parola girildikten sonra

Detaylı

2016 YILI MERKEZ KÜTÜPHANE AMAÇ VE HEDEFLERİMİZ

2016 YILI MERKEZ KÜTÜPHANE AMAÇ VE HEDEFLERİMİZ 2016 YILI MERKEZ KÜTÜPHANE AMAÇ VE HEDEFLERİMİZ AMAÇ 1- Ayrı bir merkez kütüphane binası yapılarak, kütüphane hizmetlerinin bu alandan yürütülmesini sağlamak. Hedef 1- Çağdaş bir kütüphane binasında bilgi/kültür

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Giriş Mikro işlemler Fetch cycle Indirect cycle Interrupt cycle Execute cycle Instruction

Detaylı

08224 Sunucu İşletim Sistemleri

08224 Sunucu İşletim Sistemleri 08224 Sunucu İşletim Sistemleri Server Operating System Elbistan Meslek Yüksek Okulu 2015 2016 Bahar Yarıyılı Öğr.Gör.Murat KEÇECİOĞLU 24 Şub. 2016 Server Operating System And Network Printer Öğr. Gör.

Detaylı

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi Buse Ustaoğlu Berna Örs Yalçın İçerik Giriş Çalişmanın Amacı Mikroişlemciye Hata Enjekte Etme Adımları Hata Üreteci Devresi

Detaylı

Hem lw hem de sw komutlarının ofseti 16-bitlik işaretli tamsayıdır.

Hem lw hem de sw komutlarının ofseti 16-bitlik işaretli tamsayıdır. DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #2 DİZİLERE ERİŞİMDE MIPS BELLEK TALİMATLARI Amaç: Veri bölütü kullanımını ve tek-modüllü dizi

Detaylı

FP52 PROXIMITY KART OKUYUCUSU KULLANIM KILAVUZU

FP52 PROXIMITY KART OKUYUCUSU KULLANIM KILAVUZU FP52 PROXIMITY KART OKUYUCUSU KULLANIM KILAVUZU FP52 kart okuyucusunu; Mody serisi dış kapı buton modülleri ile birlikte kullanılır. Fp52 ile iki farklı röle çıkışı vardır.500 kullanıcıya kadar tanımlanabilir.

Detaylı

SICAK VE SOĞUK ETĐKET VE BOY KESME MAKĐNASI KULLANIM KILAVUZU

SICAK VE SOĞUK ETĐKET VE BOY KESME MAKĐNASI KULLANIM KILAVUZU ELITE A.G. JM-110LRE SICAK VE SOĞUK ETĐKET VE BOY KESME MAKĐNASI KULLANIM KILAVUZU ANA EKRAN Makinenin şalteri açıldığında 5 sn boyunca açılış ekranı gelir. Daha sonra ana ekrana geçilir. Bu ekranda yardımcı

Detaylı

Mikro Ayarları. Mikro Programının kurulu olduğu veritabanı ve web servisi için bağlantı ayarlarının yapıldığı menüdür.

Mikro Ayarları. Mikro Programının kurulu olduğu veritabanı ve web servisi için bağlantı ayarlarının yapıldığı menüdür. Mikro Ayarları Mikro muhasebe sistemini kullanan müşterilemizin, muhasebe sistemleri ile E Ticaret sitesi arasındaki entegrasyon parametrelerini tanımladıkları menüdür. Bu menü altındaki alt menüler kullanılarak

Detaylı

Mikrobilgisayar Sistemleri ve Assembler

Mikrobilgisayar Sistemleri ve Assembler Mikrobilgisayar Sistemleri ve Assembler Bahar Dönemi Öğr.Gör. Vedat MARTTİN Konu Başlıkları Mikrobilgisayar sisteminin genel yapısı,mimariler,merkezi işlem Birimi RAM ve ROM bellek özellikleri ve Çeşitleri

Detaylı

Excel Nedir? Microsoft Excell. Excel de Çalışma sayfası-tablo

Excel Nedir? Microsoft Excell. Excel de Çalışma sayfası-tablo Microsoft Excell Excel Nedir? Excel programı; veriler üzerinde hesap yapabilme, verileri tabloya dönüştürebilme, verileri karşılaştırıp sonuç üretebilme, grafik oluşturma, veri yönetimi yapabilir. http://mf.dpu.edu.tr/~eyup

Detaylı

Bilgisayar Mimarisi Nedir?

Bilgisayar Mimarisi Nedir? BİLGİSAYAR MİMARİSİ Bilgisayar Mimarisi Nedir? Bilgisayar mimarisi, diğer mimariler gibi, bir yapı kullanıcısının ihtiyaçlarını belirleme ve bu ihtiyaçları ekonomik ve teknolojik kısıtlamalar dahilinde

Detaylı

Fatih Üniversitesi. İstanbul. Haziran 2010. Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır.

Fatih Üniversitesi. İstanbul. Haziran 2010. Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır. Fatih Üniversitesi SIMATIC S7-200 TEMEL KUMANDA UYGULAMALARI 1 İstanbul Haziran 2010 Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır. İÇİNDEKİLER 1. GİRİŞ...

Detaylı

Oterm EE101 Kablosuz Oda Termostatı. Oterm EE101 Kullanım Kılavuzu

Oterm EE101 Kablosuz Oda Termostatı. Oterm EE101 Kullanım Kılavuzu Oterm EE101 Kullanım Kılavuzu 1 EE101-TX EE101-RX 2 Oterm EE101 Kablosuz Oda Termostatı, kombiniz ile veya diğer ısıtma cihazları ile kullanabileceğiniz, evinizin konforunu artıran modern bir ısı kontrol

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ. PC-SPIMGirişi(MIPS R2000 Simulatörü)

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ. PC-SPIMGirişi(MIPS R2000 Simulatörü) DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #1 PC-SPIMGirişi(MIPS R2000 Simulatörü) Giriş: PC-SPIM RISC mimarisi kullanan MIPS R2000/R3000

Detaylı

Mikrobilgisayar Donanımı

Mikrobilgisayar Donanımı KARADENĠZ TEKNĠK ÜNĠVERSĠTESĠ BĠLGĠSAYAR MÜHENDĠSLĠĞĠ BÖLÜMÜ MĠKROĠġLEMCĠ LABORATUARI Mikrobilgisayar Donanımı 1. GiriĢ Bu deneyde 16 bit işlemci mimarisine dayalı 80286 mikroişlemcisini kullanan DIGIAC

Detaylı

Xpeech VoIP Gateway Konfigurasyon Ayarları

Xpeech VoIP Gateway Konfigurasyon Ayarları Xpeech VoIP Gateway Konfigurasyon Ayarları Xpeech VoIP ( Voice Over IP ) Gateway Cihazları Hakkında Genel Bilgiler Bölüm 1 Tüm modellerimizde cihazların WEB arayüzlerine erişim sağlayarak konfigurasyonları

Detaylı

KATEGORİ MİZANI BAŞLARKEN KATEGORİ NEDİR? NEDEN N İHTİYAÇ DUYULUR?

KATEGORİ MİZANI BAŞLARKEN KATEGORİ NEDİR? NEDEN N İHTİYAÇ DUYULUR? KATEGORİ MİZANI Doküman Kodu : RNT-02 Açıklama : Vio Kategori Mizanı Kullanımı Kapsam : Vio Nitelikleri Revizyon No : 2 Yayın Tarihi : Aralık 2012 BAŞLARKEN SKOR YAZILIM tarafından geliştirilen ticari

Detaylı

Sistem Programlama. Kesmeler(Interrupts): Kesme mikro işlemcinin üzerinde çalıştığı koda ara vererek başka bir kodu çalıştırması işlemidir.

Sistem Programlama. Kesmeler(Interrupts): Kesme mikro işlemcinin üzerinde çalıştığı koda ara vererek başka bir kodu çalıştırması işlemidir. Kesmeler(Interrupts): Kesme mikro işlemcinin üzerinde çalıştığı koda ara vererek başka bir kodu çalıştırması işlemidir. Kesmeler çağırılma kaynaklarına göre 3 kısma ayrılırlar: Yazılım kesmeleri Donanım

Detaylı

1. VERİ TABANI KAVRAMLARI VE VERİ TABANI OLUŞTUMA

1. VERİ TABANI KAVRAMLARI VE VERİ TABANI OLUŞTUMA BÖLÜM15 D- VERİ TABANI PROGRAMI 1. VERİ TABANI KAVRAMLARI VE VERİ TABANI OLUŞTUMA 1.1. Veri Tabanı Kavramları Veritabanı (DataBase) : En genel tanımıyla, kullanım amacına uygun olarak düzenlenmiş veriler

Detaylı

ANALOG ADRESLİ YANGIN ALARM SİSTEMİ YAZILIM KULLANMA KILAVUZU

ANALOG ADRESLİ YANGIN ALARM SİSTEMİ YAZILIM KULLANMA KILAVUZU ANALOG ADRESLİ YANGIN ALARM SİSTEMİ YAZILIM KULLANMA KILAVUZU Bilgisayar üzerinden analog adresli yangın algılama sisteminde bulunan detektörlere yer atama, kontak izleme modülünün senaryo belirtilmesi

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6 DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6 16 Bitlik R Tipi İçin ALTERA MAX-PLUS-II VHDL de Tam Tek Saat Veri Yolu Birimi Amaç: Tek-Saat

Detaylı

Her türlü sorunuz için (0216) 414 30 88 / 89 numaralı telefonumuzu arayabilir veya teknik@elektromaks.com.tr adresine e-posta atabilirsiniz. (V1.

Her türlü sorunuz için (0216) 414 30 88 / 89 numaralı telefonumuzu arayabilir veya teknik@elektromaks.com.tr adresine e-posta atabilirsiniz. (V1. Her türlü sorunuz için (0216) 414 30 88 / 89 numaralı telefonumuzu arayabilir veya teknik@elektromaks.com.tr adresine e-posta atabilirsiniz. (V1.03) PowerWave - 4 4 Bölgeli Kontrol Paneli Kullanım Kitapçığı

Detaylı

MİKROBİLGİSAYAR LABORATUVARI DENEY RAPORU

MİKROBİLGİSAYAR LABORATUVARI DENEY RAPORU İ.T.Ü. Elektrik-Elektronik Fakültesi Bilgisayar Mühendisliği Bölümü MİKROBİLGİSAYAR LABORATUVARI DENEY RAPORU Deney No: 2 Deney Adı: Örnek Programlar Deney Tarihi: 17/10/2003 Grup: C5 Deneyi Yapanlar:

Detaylı

SPARC v8 İŞLEMCİ SİMÜLASYONU

SPARC v8 İŞLEMCİ SİMÜLASYONU SPARC v8 İŞLEMCİ SİMÜLASYONU BİTİRME ÖDEVİ SUNUMU D a n ı ş m a n : Yrd. D oç. D r. F eza B U Z L U C A EZGİ ZÜMRÜT ULAŞ 040080194 Sunum Konuları 2 RISC İşlemciler Programlama Dili Seçimi SPARC v8 Yazılım

Detaylı

HSancak Nesne Tabanlı Programlama I Ders Notları

HSancak Nesne Tabanlı Programlama I Ders Notları ComboBox Bir Windows açılan kutu sunu temsil eder. ComboBox kontrolü, kullanıcıların bazı değerleri açılan bir listeden seçmesini sağlar. Listeye tasarım anında veya çalışma anında öğe eklenebilir. Listeye

Detaylı

HİDROLİK SİSTEMLERİN TASARIMINDA PAKET PROGRAM VE HİDROLİK MODÜLLER KULLANILARAK KOLAY BENZETİM YAPILMASI

HİDROLİK SİSTEMLERİN TASARIMINDA PAKET PROGRAM VE HİDROLİK MODÜLLER KULLANILARAK KOLAY BENZETİM YAPILMASI 49 HİDROLİK SİSTEMLERİN TASARIMINDA PAKET PROGRAM VE HİDROLİK MODÜLLER KULLANILARAK KOLAY BENZETİM YAPILMASI Tuna BALKAN M. A. Sahir ARIKAN ÖZET Bu çalışmada, hidrolik sistemlerin tasarımında hazır ticari

Detaylı

BİLGİSAYAR PROGRAMLARININ TASARIMLARINDAKİ VE KODLARINDAKİ SORUNLARIN BELİRLENMESİ ALPER FİLİZ MEHMET ALİ SERT

BİLGİSAYAR PROGRAMLARININ TASARIMLARINDAKİ VE KODLARINDAKİ SORUNLARIN BELİRLENMESİ ALPER FİLİZ MEHMET ALİ SERT BİLGİSAYAR PROGRAMLARININ TASARIMLARINDAKİ VE KODLARINDAKİ SORUNLARIN BELİRLENMESİ ALPER FİLİZ 040080202 MEHMET ALİ SERT 040090521 SUNUM İÇERİĞİ Problem Tanımı Tespit Edilen Sorunlar Problemin Sonuçları

Detaylı

Adresleme Modları. Mikroişlemciler ve Mikrobilgisayarlar

Adresleme Modları. Mikroişlemciler ve Mikrobilgisayarlar Adresleme Modları 1 Adresleme Modları İşlenenin nerede olacağını belirtmek için kullanılırlar. Kod çözme aşamasında adresleme yöntemi belirlenir ve işlenenin nerede bulunacağı hesaplanır. Mikroişlemcide

Detaylı

TEMEL BİLGİSAYAR BİLİMLERİ. Programcılık, problem çözme ve algoritma oluşturma

TEMEL BİLGİSAYAR BİLİMLERİ. Programcılık, problem çözme ve algoritma oluşturma TEMEL BİLGİSAYAR BİLİMLERİ Programcılık, problem çözme ve algoritma oluşturma Programcılık, program çözme ve algoritma Program: Bilgisayara bir işlemi yaptırmak için yazılan komutlar dizisinin bütünü veya

Detaylı

PIC16F877A nın Genel Özellikleri

PIC16F877A nın Genel Özellikleri BÖLÜM 3 PIC16F877A nın Genel Özellikleri 3.1 Mikrodenetleyici Mimarisi 3.2 PIC16Fxxx Komut Seti 3.3 PIC16F877A Bellek Organizasyonu 3.4 Giriş/Çıkış Portları 3.5 STATUS ve TRIS Kaydedicileri 3.6 Kesme ve

Detaylı

Bilgisayarın Yapıtaşları

Bilgisayarın Yapıtaşları Bilgisayarın Yapıtaşları Donanım (Hardware) bilgisayarın fiziki yapısını oluşturan şeylerdir. Yazılım (Software) bilgisayarın fiziki yapısını kulanan ve ona hayat veren komutlar bütünüdür. 1 Dosyalar (Files)

Detaylı

Kargo Modülü. Diğer modüller ile entegre çalışan Kargo modülü ile satış irsaliyesifaturasıoluşturduktan

Kargo Modülü. Diğer modüller ile entegre çalışan Kargo modülü ile satış irsaliyesifaturasıoluşturduktan Kargo Modülü Ürün Grubu [X] 7.0 NetsisEnterprise Kategori [X] Yeni Modül Versiyon Önkoşulu 7.0 Onaylı Sürüm Uygulama Kargo modülü ile işletme içerisinde satışa yönelik yapılan işlemler, dağıtım şirketleri

Detaylı

VAKIFBANK SANAL POS PANELİ KULLANICI KILAVUZU

VAKIFBANK SANAL POS PANELİ KULLANICI KILAVUZU VAKIFBANK SANAL POS PANELİ KULLANICI KILAVUZU DEĞERLİ ÜYE İŞYERİMİZ! Vakıfbank Sanal POS Ekibi olarak, sizlere daha iyi hizmet verebilmek için çalışmaya devam ediyoruz. İlerleyen dönemlerde panelimizin

Detaylı

KDV İADESİ MÜKELLEF MEMNUNİYETİ YÖNETİM SİSTEMİ

KDV İADESİ MÜKELLEF MEMNUNİYETİ YÖNETİM SİSTEMİ VDB KDV İADESİ MÜKELLEF MEMNUNİYETİ YÖNETİM SİSTEMİ KILAVUZU İSTANBUL VERGİ DAİRESİ BAŞKANLIĞI MÜKELLEF HİZMETLERİ KDV GRUP MÜDÜRLÜĞÜ ( HAZİRAN 2016 ) KDV İADESİ MÜKELLEF MEMNUNİYETİ YÖNETİM SİSTEMİ, Her

Detaylı

24. Yazdırma ve Plot Alma

24. Yazdırma ve Plot Alma 24. Yazdırma ve Plot Alma Bu Konuda Öğrenilecekler: Yazdırma işlemini gerçekleştirmek Plot etme işlemini gerçekleştirmek PlotMaker programı ile çalışmak Projenin kağıda dökülme evresinde yazdırma ve plot

Detaylı