Gömülü Sistemler ve Uygulamaları Sempozyumu

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "Gömülü Sistemler ve Uygulamaları Sempozyumu"

Transkript

1

2 GömSis 2008 Gömülü Sistemler ve Uygulamaları Sempozyumu Düzenleme Kurulu Prof. Dr. Emre HARMANCI, İTÜ Bilişim Enstitüsü Doç. Dr. Müştak Erhan YALÇIN, İTÜ Elektrik Elektronik Fak, Elektronik ve Haberleşme Müh. Böl. Yrd. Doç. Dr. Osman Kaan EROL, İTÜ Elektrik Elektronik Fak, Bilgisayar Müh. Böl. M. Niyazi SARAL, TÜBİDER Suat BAYSAN, TESİD Bülent GÖNÇ, TBV Emel Altay BEDİSEL, İstanbul Sanayi Odası Refik ÜREYEN, Türkiye Teknoloji Geliştirme Vakfı Yürütme Kurulu Doç. Dr. Müştak Erhan YALÇIN, Elektrik Elektronik Fak, Elektronik ve Haberleşme Müh. Böl. Yrd. Doç. Dr. Osman Kaan EROL, İTÜ Elektrik Elektronik Fak, Bilgisayar Müh. Böl. M. Niyazi SARAL, TÜBİDER Bilim Kurulu Prof. Dr. Eşref ADALI, İstanbul Teknik Üniversitesi Prof. Dr. İrfan ALAN, Ege Üniversitesi Prof. Dr. Atilla ATAMAN, Yıldız Teknik Üniversitesi Yrd. Doç. Dr. Feza BUZLUCA, İstanbul Teknik Üniversitesi Prof. Dr. Günhan DÜNDAR, Boğaziçi Üniversitesi Yrd. Doç. Dr. Oğuz ERGİN, TOBB Ekonomi ve Teknoloji Üniversitesi Yrd. Doç. Dr. Osman Kaan EROL, İstanbul Teknik Üniversitesi Prof. Dr. Muhittin GÖKMEN, İstanbul Teknik Üniversitesi Prof. Dr. Hasan Cengiz GÜRAN, Orta Doğu Teknik Üniversitesi Prof. Dr. Emre HARMANCI, İstanbul Teknik Üniversitesi Prof. Dr. Bülent ÖRENCİK, Tubitak Bilişim Teknolojileri Enstitüsü Yrd. Doç. Dr. Ahmet ÖZKURT, Dokuz Eylül Üniversitesi Prof. Dr. A. Coşkun SÖNMEZ, Yıldız Teknik Üniversitesi Yrd. Doç. Dr. Tuncay UZUN, Yıldız Teknik Üniversitesi Yrd. Doç. Dr. Sıddıka Berna Örs YALÇIN, İstanbul Teknik Üniversitesi Doç. Dr. Müştak Erhan YALÇIN, İstanbul Teknik Üniversitesi Doç. Dr. Arda YURDAKUL, Boğaziçi Üniversitesi 1

3 İçindekiler Telsiz Bilgisayar Mimarisi 4 Oğuz ERGİN, Yusuf Onur KOÇBERBER, Meltem ÖZSOY Genel Amaçlı 16 - Bit Gömülü Sistem Tasarımı ve Sentezlenmesi 6 Ahmet ÖZMEN, İsmail GÜDENLER, Ercan DOĞAN FPGA Tabanlı Akıllı Anten Sistemi SmAnt 8 Özgür TAMER, Ahmet ÖZKURT Asenkron Makinanın Alan Yönlendirme Kontrolünde FPGA Kullanımı 10 Özkan AKIN, İrfan ALAN ADİS Acil Durum İkaz Sistemi 12 Agah Burak DEMİRKAN, Ahmet ÇOBAN, Ahmet SÖNMEZ, Uğurhan KUTBAY Secure 14 Deniz TAŞKIN, Cem TAŞKIN, Nurşen SUÇSUZ Gerçek Zamanlı Video İşleyen Yeni Bir Hücresel Sinir Ağları Emülatörü 16 Kamer KAYAER, Vedat TAVŞANOĞLU Güvenli Fotoğraf Makinesi Tasarımı ve FPGA da Gerçeklenmesi 18 Oğuz ŞEN FFT Algoritmalarının FPGA Üzerinde Gerçeklenmesi 20 Tuba AYHAN, Müştak E. YALÇIN CRYPTODIN Kriptolu Yazılımsal Mikroişlemci 22 Tolga AYAV, K. Atilla TOKER, Gökhan AKSAKALLI, Nurtürk HARSA Yüksek Hızlı Ağlar İçin Zamanlama ve Anahtarlama Mimarilerinin Tasarımı ve Gerçeklenmesi 24 Mustafa SANLI, Ece SCHMIDT Sayısal Görüntüye Veri Gömmek Ve Ayrıştırmak İçin FPGA Tabanlı Donanım Modülü Tasarımı 26 İsmail ERCAN, İbrahim ŞAHİN, Serdar KIRIŞOĞLU Enerji Kalitesi Bozucularının Belirlenmesi ve Sınıflandırılması İçin Gömülü Sistem Uygulaması 28 Semavi AKIN, Doğan Gökhan ECE, Ömer Nezih GEREK RFID İçin Yazılım ve Donanım Geliştirme 30 İbrahim KORANA, Vahit TONGUR, Şirzat KAHRAMANLI Üç Fazlı Asenkron Motorun FPGA Tabanlı Modellenmesi ve Döngüde Donanımsal Benzetim Tekniği ile Sürücü Sistemlerinin Gerçek Zamanlı Test Edilmesi 32 Erkan DUMAN, Hayrettin CAN, Erhan AKIN FPGA Üzerinde SelCPU İşlemcisi İle System-On-Chip Uygulaması 35 Selçuk BAŞAK Mikrokontrolör İle CF Bellekli MP3 Kod Çözücü Tasarımı 37 Yalçın EZGİNCİ, Ali ALİOĞLU Genel Amaçlı, Pipeline RISC Mimarili İşlemcinin VHDL Dili İle Tasarımı ve FPGA Üzerinde Gerçeklenmesi: İvme İşlemcisi 39 M. Ali ULUCAN, Özkan AKIN 2

4 Genel Amaçlı, CISC ve Von Neuman Mimarili İşlemcinin VHDL Dili İle Tasarımı ve FPGA Üzerinde Gerçeklenmesi 41 Ümit TARHAN, Erman SELİM, Emre AYANOĞLU, İbrahim KURU, Nihat Erdi SAMARAZ, Özkan AKIN ITUcam, FPGA Tabanlı Görüntü Yakalama ve İşleme Kartı Gerçeklemesi 43 Ramazan YENİÇERİ, Abdullah USTA, Müştak E. YALÇIN Plaka Yeri Tespiti için Kenar Bulma, Bit Tabanlı Öznitelik Çıkartma ve YSA Sınıflandırıcısının FPGA Üzerine Uyarlanması 45 Mehmet Ali ÇAVUŞLU, Halis ALTUN, Fuat KARAKAYA Gömülü Sistemler İçin Düşük Güç Tüketimli İşlemci Tasarımı 47 Muhammet M. KAYA, Uğur ÇAM Yapay Sinir Ağı Eğitiminin IEEE 754 Kayan Noktalı Sayı Formatı İle FPGA Tabanlı Gerçeklenmesi 49 Mehmet Ali ÇAVUŞLU, Cihan KARAKUZU, Suhap ŞAHİN, Fuat KARAKAYA Kodsıfır Mikroçekirdeği 51 Bahadır BALBAN DPT Genel Amaçlı Gömülü Sistem Uygulama Platformu 53 Engin KARABULUT, Abid Üveys DANIŞ, Çağatay ÇATAL 3

5 Telsiz Bilgisayar Mimarisi Oğuz ERGİN, Yusuf Onur KOÇBERBER, Meltem ÖZSOY TOBB Ekonomi ve Teknoloji Üniversitesi, Bilgisayar Mühendisliği Bölümü, Ankara Özet Günümüzde bilgisayarlar işlemci, bellek ve giriş/çıkış aygıtlarından oluşur. Bilgisayarın kalbi olan işlemcinin kullanıcıyla iletişiminin sağlanması amacıyla pek çok giriş ve çıkış aygıtı tasarlanmış, işlemcinin bu aygıtlarla haberleşmesi için de bir ara yüz olarak ana kartlar düşünülmüştür. Daha fazla işlemcinin ve bellek modülünün çok sayıda giriş çıkış aygıtına bağlanmasını gerektiren bazı durumlarda tel ile birbirine bağlanacak aygıt sayısının artmasından dolayı karmaşıklık artmakta, bilgisayarı oluşturan işlemcilerin birbiriyle iletişim kurması zorlaşmaktadır. Bunun yanında bilgisayarı oluşturan parçalar ana karta uygun tasarlandığından bilgisayarın esnekliği azalmakta ve özellikle işlemci ve bellek birimlerinin yenilenmesi ya da sayısının artırılması fiziksel kısıtlar nedeniyle zorlaşmaktadır. Telsiz iletişim bilgisayarları birbirine bağlayarak ağlar oluşturmak için giderek daha fazla kullanılan yaygın bir yöntemdir. Ancak bugüne kadar bir bilgisayar bileşenlerinin birbiriyle tamamen kablosuz iletişmesi ve ana kartın ortadan kaldırılması hiç denenmemiştir. Böyle bir düşüncenin hayata geçmemesinin en önemli nedeni şüphesiz telsiz iletişimin telli iletişime oranla çok daha yavaş ve daha az güvenilir olmasıdır. Buna karşın yalnızca telsiz biçimde birbiriyle haberleşen bileşenlerden oluşan bir bilgisayar esnek ve modüler yapısıyla özellikle çok sayıda paralel uygulamalar çalıştıran süper bilgisayarlar için cazip bir seçenek olabilir. Bu makalede bileşenlerinin birbiriyle yalnızca telsiz iletişim yoluyla haberleştiği bir bilgisayar mimarisinin tanımlanması, böyle bir bilgisayarın ilk kavramının oluşturulması amaçlanmaktadır. İleri aşamalarda bilgisayarın işlemcisine, belleklerine ve giriş çıkış aygıtlarının tamamına birer telsiz iletişim yongası bağlanıp, güç kaynakları birbirinden ayrı olan ve belirli bir uzaklıkta bulunan bu birimlerin birbirleriyle anlaşarak bir bilgisayarı oluşturmaları sağlanabilir. Günümüzde telsiz iletişim hızları düşük olsa da gelecekte artacak iletişim hızları ve paralel işlem gereksiniminin artması ile telsiz bir bilgisayar mimarisinin kullanım alanları artacaktır. Yapacağımız bu çalışma gelecekte kullanılacak değişik bir bilgisayar yapısının farklı bir seçenek olarak oluşturulmasını amaçlamaktadır. 4

6 5 G ö m S i s

7 Genel Amaçlı 16 - Bit Gömülü Sistem Tasarımı ve Sentezlenmesi Ahmet ÖZMEN, İsmail GÜDENLER, Ercan DOĞAN Dumlupınar Üniversitesi, Elektrik Elektronik Mühendisliği Bölümü, Kütahya ozmen@dpu.edu.tr, igudenler@hotmail.com, edogan84@hotmail.com Özet Bu çalışmada, genel amaçlı gerçek zamanlı uygulamalarda kullanılabilecek 16 Bit veri ve adres yolu olan, bellek saklayıcı mimarisinde ve tamsayılarla işlem yapabilen bir gömülü sistem tasarlanıp gerçeklenmiştir. Gömülü sistemde 32 adet 16 bitlik saklayıcılar dizisi ve A (akümülatör) saklayıcısı bulunmaktadır. Bu saklayıcıların 14 ü sistem tarafından veya giriş/çıkış birimleri tarafından kontrol saklayıcısı olarak kullanılmakta olup, 18 i kullanıcıya bırakılmıştır. Sisteme, veri ve program belleği olarak 64 K Word dahili (sentezleme aşamasında eklenirse) veya harici bellek ilave edilebilmektedir. Sistem her biri bit bazında yönlendirilebilen 2 adet 16 Bitlik giriş çıkış iskelesi ile donatılmıştır. Ayrıca, dört farklı harici kaynaktan gelebilecek kesmeler için vektörlü kesmeyi desteklemektedir. Sistemde 16 Word derinliğinde bir yığın gerçeklenmiş olup, alt programlara ve kesme servis rutinlerine dallanmalarda geri dönüş adresi bu yığına atılmaktadır. İşlemci çarpma ve bölme komutları dahil 35 komut ile ihtiyaç duyulan tüm işlemleri en etkin bir şekilde gerçekleyebilmektedir. Komutlar yapısına göre ivedi, doğrudan, doğal, sıralı ve bellek ivedi adresleme modlarını kullanabilmektedir. Komutların adresleme modlarına göre icra süreleri: 2 (doğal), 3 (ivedi), 4 (doğrudan, sıralı), 5 (bellek ivedi) saat darbesi sürmekte; çarpma ve bölme işlemleri ilave olarak 16 saat darbesi daha almaktadır. Gömülü sistem modüler olarak kapı seviyesinde tasarlanmış olup, her bir modül son sisteme dahil edilmeden önce davranışsal eşleniği ile kapsamlı bir teste tabi tutulmuştur. Tasarlanan modüller ve tüm sistem Verilog HDL ile kodlanmış olup derleyici ve editör olarak XILINX ISE 10.1 ortamı kullanılmıştır. Modüllerin tasarım ve test aşamasından sonra, tüm işlemci simülasyon yoluyla test edilmiş ve üzerinde örnek programlar koşturulmuştur. Simülasyonda MODELSIM SE Verilog kullanılmış olup kesme girişlerini test etmek için ise XILINX ISE ortamındaki Test Bench Waveform yardımı ile farklı kesme girişlerine farklı anlarda kesme sinyali uygulanmıştır. Tüm test ve simülasyon aşamaları geçildikten sonra, gömülü sistem Xilinx HW SPAR3E SK geliştirme kartı kullanılarak sentezlenmiştir. Program yazmayı kolaylaştırmak için ayrıca bir farklı ortam derleyicisi (cross compiler) ve editörden oluşan programlama ortamı tasarlanmış ve C Sharp dili kullanılarak gerçeklenmiştir. Geliştirilen programlama ortamındaki editör, renk ve biçim unsurlarıyla simgesel dilde program yazmayı kolaylaştıran ve yazım hatalarını en aza indiren özelliklere sahiptir. Derleme işlemi bir butona basılarak yapılabilmekte ve icra edilebilir program gömülü sistemin belleğine bir başka butona basılarak indirilebilmektedir. Geliştirilen programlama ortamında oldukça karmaşık uygulamalar (iki boyutlu oyun, üç boyutlu küp) kodlanıp derlenmiş ve sistem üzerinde koşturulmuştur. Ayrıca, gelecek sürümlerde programlama ortamına hata ayıklayıcı ve simülatör ilave edilmesi planlanmaktadır. 6

8 7 G ö m S i s

9 FPGA Tabanlı Akıllı Anten Sistemi SmAnt Özgür TAMER, Ahmet ÖZKURT Dokuz Eylül Üniversitesi, Elektrik Elektronik Mühendisliği Bölümü, İzmir Özet Algılayıcı dizisi huzme yönlendirme sistemleri iletişim teknolojilerinden sonar / radar uygulamalarına bir çok konuda uygulama alanı bulabilen sistemlerdir. Sistem başarımını artırmanın yollarından biri ise huzme yönlendirme algoritmasını alan programlamalı kapı dizileri (FPGA) üzerinde oluşturulmuş işlemciler üzerinde paralel olarak çalıştırmaktır. Böylece yoğun matris işlemleri içeren algoritmalar çok daha kısa zamanda sonuç vermektedirler. Projemiz huzme yönlendirme algoritmalarından QR ayrıştıtmasına dayalı özyinelemeli en küçük kareler (RLS) (QRD- RLS) algoritmasının, sistolik dizi yapısında programlanmış bir FPGA'e gömülü halde çalıştırılmasına dayanmaktadır. Paralel işlemcilere dayanan bu yaklaşımda anten huzmesini yönlendirmek için gerekli ağırlıklar çok hızlı bir şekilde elde edilmekte ve sistem başarımını artırmaktadır.. Çalışmada kullanılan sistolik dizide hücrelerden oluşan her sütuna sürekli bir veri akışı bulunmaktadır. İlk adım olarak çalıştırılan mode 1 durumunda veri matrisinin tüm sistolik dizi yapısına yerleşmesi sağlanmaktadır. Hücreler mode 2 durumunda çalıştırıldığında ise veri akışında öncelikle c ile ifade edilen zorlayıcı vektör sürülmekte ve antenlerin bakış açısı hücrelere uygulanmakta ve ardından da bir birim matris uygulanarak zorlayıcı vektörün tüm matrise doğru bir şekilde yayılması sağlanmaktadır. Daha sonra ise hücreler tekrar mode 1 durumunda çalıştırılmakta ve hedeflenen ağırlık değerleri sistolik dizinin çıkışından elde edilmektedir. Yukarıda bahsedilen sistolik dizi yapısı bir FPGA yapısına gömülü halde uygulanmıştır. FPGA'ye alıcı kart tarafından algılanan değerler bir I/Q kip çözücü yardımıyla karmaşık hale getirildikten sonra kart üzerinden bulunan ADC'ler yardımıyla sayısallaştırılarak aktarılmaktadır. FPGA'de gömülü olarak çalışan QRD RLS algoritmasının çıktısı olarak elde edilen ağırlık vektörleri de hem alıcı hem de verici katlara uygulanarak akıllı antenin dışında kalacak şekilde gösterilen iletişim sisteminin hüzme yönlendirmesi uygulanmış anten dizisinden yararlanması sağlanmış olur. Gömülü QRD RLS algoritması bir C++ kütüphanesi olan ve IEEE tatafından sistem benzetimi ve tasarımı için bir standart olarak kabul edilen SystemC ortamında oluşturuluştur. Böylece hem çok daha üst seviye bir tasarım ortamı olan C++ kullanılmış ve benzetim ve uygulamanın aynı ortamda gerçeklenmesi sağlanmış hem de sabit noktalı işlem gibi işaret işleme başarımını artıracak özelliklerin kullanımı mümkün olmuştur. Hücreler SystemC ortamında nesne olarak tanımlanmış ve bu nesnelerin arasında kurulan köprülerle de sistolik dizi yapısı oluşturulmuştur. Geliştirilen sistolik dizi yapısı daha sonra EDIF'e çevrilmiş ve FPGA üreticisi tarafından sunulan yazılım kullanılarak sentezlenmiş ve FPGA'ye aktarılmıştır. Aşağıda bu aktarımın sonucu yapılan denemelerde elde edilen sonuçlar görülmektedir. Bu çalışmada Akıllı anten sisteminin ağırlıklarını hesaplayarak dizinin huzmesinin istenilen şekilde oluşmasını sağlayan QRD RLS tabanlı uyarlanır dizi algoritması SystemC kütüphanesi kullanılarak geliştirilmiş ve FPGA üzerinde gerçeklenmiştir. Anten dizisinden alınan RF işaretler dörtlü kip çözücü yardımıyla kompleks işaret haline dönüştürüldükten sonra analog sayısal çeviriciler yardımıyla uyarlanır dizi algoritmasının yüklendiği FPGA'e aktarılmaktadır. FPGA çıkışlarında oluşan kompleks ağırlıklar ise dörtlü kipleyiciler yardımıyla RF işaretlere çevrilerek huzmesi yönlendirilmiş anten örüntüsü oluşturulmaktadır. Bu yolla alınan ölçüm sonuçları Şekil 3 te sunulmaktadır. Hücre yapılarının içerdiği kompleks karekök ve kompleks bölme gibi işlemler, FPGA kaynaklarının daha verimli kullanılabilmesi için CORDIC tabanlı işlemler haline getirilerek bir kütüphane oluşturulmuş ve kullanılmıştır. Geliştirilen QRD RLS algoritması tabanlı huzme yönlendirici 5 temel hücre yapısına dayanan bir algoritmadır. Yeni hücrelerin eklenmesi ile algoritma daha büyük anten dizileri için de uygun hale getirilebilir. 8

10 9 G ö m S i s

11 Asenkron Makinanın Alan Yönlendirme Kontrolünde FPGA Kullanımı Özkan AKIN, İrfan ALAN Ege Üniversitesi, Mühendislik Fakültesi, Elektrik & Elektronik Mühendisliği Bölümü, İzmir Özet Bu çalışmasında bir asenkron makinanın alan yönlendirme kontrolünde programlanabilir kapı dizileri (FPGA) kullanımının fizibilite çalışması yapılmıştır. Öncelikle asenkron makinanın dolaylı alan yönlendirme kontrolü nümerik olarak ACSL simülasyon programı aracılığı ile simüle edilmiştir. Daha sonra ilgili kontrol Matlab Simulink ortamında geliştirilip Xilinx System Generator desteği kullanılarak Xilinx Spartan 3 xc3s200 FPGA kartında gerçeklenebilecek tarzda modellenmiştir. Ayrıca Alan Yönlendirme Kontrolü Çok Yüksek Hızlı Tümleşik Devre Donanım Tanımlama Dili (VHDL) dili kullanılarak da kodlanmıştır. Tasarlanan sistemde kullanıcı giriş, çıkış ve ara algoritma katmanlarını kısa sürede değiştirebileceğinden esnek ve modüler bir yapıya sahiptir, dolayısıyla diğer vektör kontrol modellemelerine de kolaylıkla geçilebilir. Asenkron makinanın kontrolünde kullanılan dolaylı alan yönlendirme kontrolü Digilent firması tarafından üretilen Digilab S3 Spartan 3 FPGA geliştirme kitinde gerçeklenmeye hazır hale getirilmiştir. Geliştirilen sistemin kontrol performansı asenkron makinanın değişik yüklerinde ve çeşitli çalışma hızlarında Simulink ortamında testleri yapılmıştır. 10

12 11 G ö m S i s

13 ADİS Acil Durum İkaz Sistemi Agah Burak DEMİRKAN, Ahmet ÇOBAN, Ahmet SÖNMEZ, Uğurhan KUTBAY Gazi Üniversitesi, Elektrik Elektronik Mühendisliği, Ankara Özet ADİS, 32 bit kelime uzunluğu ve pipeline yapısına sahip olan iei-32 işlemcisi sayesinde deprem sel gibi beklenmedik tabi afetler söz konusu olduğunda sensörler vasıtası ile algılama yapıp devreye girerek iletişimin belirlenen acil durum merkezleri arasında sürekliliğini sağlayacak var olan şebekelerden bağımsız bir haberleşme sisteminin kontrolcüsüdür. Sistem VHF bandı üzerinden iletişim sağlayacak, gerekirse data şifreleme yapabilecek altyapıya sahip data ve ses aktarımı yapabilen, direct digital synthesis tabanlı bağımsız bir gömülü sistemdir. ADİS, PLL tabanlı alıcı, verici ve SMPS kontrollü güç ünitesi olmak üzere 3 bölümden oluşmaktadır. Sistemde; nem, rüzgar, sıcaklık ve deprem bilgileri sensörler aracılığıyla kontrol edilmektedir. Güneş enerjisi ile kendisini otomatik olarak şarj eden ADİS, 110 ile 150 MHz frekans aralığında çalışabilmekte ve 4800 Baud Rate narrowband, frekans atlama özelliği, ses ve data transferi özelliklerine de sahiptir. 12

14 13 G ö m S i s

15 Secure Deniz TAŞKIN 1, Cem TAŞKIN 1, Nurşen SUÇSUZ 2 1 Trakya Üniversitesi, Bilgisayar Mühendisliği Bölümü, Edirne 2 Trakya Üniversitesi, Tunca Meslek Yüksek Okulu, Edirne deniztaskin@trakya.edu.tr, cemtaskin@trakya.edu.tr, nursen@trakya.edu.tr Özet Görsel veriye artan ilgi sebebiyle video dosyaları günlük yaşantıda kullanımı artmakla birlikte video dosyalarının güvenliği de ön plana çıkmaktadır. Video dosyaları dışında, gerçek zamanlı ve güvenlik gereksinimi yüksek video konferans oturumlarının güvenliği de sağlanması da günümüzün önemli konularındandır. Uzunca bir süredir metin dosyalarının güvenliğini başarılı şekilde koruyan geleneksel şifreleme yöntemleri, video verisinin güvenliğini sağlamak için yetersiz kalmaktadır. Bu yöntemler video verisine uygulandığında, şifreyi kırmak isteyen kişilere açık noktalar bırakmaktadır. Video verisi büyük alan kapladığı için şifreleme süresi de uzamaktadır. Bu süre çoğunlukla gösterim süresini aşmaktadır ve gerçek zamanlılıktan uzaktır. Bu çalışmada, kısmi şifreleme temelli hızlı ve bağımsız bir çekirdek tasarlanarak performansı en yüksek seviyeye çıkarma hedeflenmektedir. Projenin gerçek yaşamda video konferans, uydu sistemleri, depolama güvenliği ve bütünlüğü gibi birçok uygulama alanı vardır. 14

16 15 G ö m S i s

17 Gerçek Zamanlı Video İşleyen Yeni Bir Hücresel Sinir Ağları Emülatörü Kamer KAYAER, Vedat TAVŞANOĞLU Yıldız Teknik Üniversitesi, Elektronik ve Haberleşme Mühendisliği Bölümü, İstanbul Özet Hücresel Sinir Ağları (HSA) iyi tanımlanmış bir yapay sinir ağı yapısıdır ve özellikle görüntü işleme uygulamalarında kullanılmaktadır. HSA yapısında, görüntüdeki her bir piksele bir hücre karşı düşer ve hücreler görüntü işlemeyi paralel (eş zamanlı) çalışarak gerçekleştirirler. Bu paralel çalışmayı sağlamak için çip üzerinde görüntüdeki piksel sayısı kadar işlemci gerçeklenesi gerekir. Bu çok sayıdaki işleci yapısının çip üzerinde gerçeklenebilmesi, dijital işlemcilere göre çok daha az yer kaplayan analog işlemciler (hücreler) kullanılarak mümkün olmaktadır. Bu şekilde gerçeklenmiş tümdevrelerin en üstün yanı işlem hızlarının çok yüksek olmasıdır. Ancak bu güne kadar gerçekleştirilmiş en gelişmiş tek katmanlı HSA analog çipi (ACE16k) hücre içermektedir ve çipin eşdeğer dijital doğruluğu 7-8 bit dir. Günümüzün görüntü işleme uygulamalarının daha yüksek çözünürlüklere ihtiyaç duyması, analog gerçeklemelerin gürültü ve sıcaklık değişimlerine dijital eşdeğerlerine göre daha duyarlı ve üretim maliyetlerinin çok daha yüksek olması araştırmacıları HSA yapısının dijital emülasyonları üzerinde çalışmaya yöneltmiştir. Tasarlanan emülatör yapılarının FPGA tümdevreleriyle gerçeklenmesi ise paralel çalışan işlemcilerin FPGA ile oluşturulabilmesi, yeniden yapılandırılabilmeleri ve maliyetlerinin düşük olması açısından oldukça uygundur. Bu çalışmada, gerçek zamanlı video işleyen yeni bir HSA emülatörü yapısı önerilmiş ve FPGA ile gerçeklenmiştir. Yapının temel özellikleri; FPGA dışında bir bellek elemanı (RAM) kullanmaması, her bir pikseli üç saat darbesinde işlemesi ve çıkışın hesaplanması için yapılan Euler iterasyonu sayısının gerçeklenen işlemci sayısı ile belirlenmesidir. Dış bellek kullanılmaması sistemin karmaşıklığını ve maliyetini düşürmektedir. Sistem çok sayıda hücre içeren ve 3 3 şablonlarla çalışan bir HSA yapısının emülasyonunu pipeline olarak çalışan bir tek hücre ile gerçekleştirir. Bu hücre paralel olarak çalışan ve birbirine kaskad bağlı birçok işlemci biriminden oluşur. Her işlemci biriminde hücrelerin durum değerlerini saklayan üç adet RAM bloğu mevcuttur. Görüntü verisi emülatöre (FPGA e) VGA, DVI, dijital arayüzlü CMOS kamera vb. sıralı (progressive) veri ileten bir protokol ile iletilmelidir. PAL, SECAM ve NTSC gibi görüntüyü atlamalı (interlaced) olarak ileten standartlar bu yapı için uygun değildir. Piksel verilerinin sisteme girmesi, işlenmesi ve çıkışı eş zamanlı olarak gerçekleştirilir. Video çıkış işareti birkaç video satırı gecikme ile video giriş işaretine senkrondur. Bu gecikme sistemin pipeline yapısından kaynaklanmaktadır. Emülatör yapısı Celoxica firmasını RC203 kartı üzerinde bulunan Xilinx firmasını Virtex-II 3000 FPGA tümdevresiyle gerçeklenmiş ve bir kenar belirleme uygulamasında kullanılmıştır. Uygulama için gerçeklenen sistem piksel 60 fps 9 bit gri tonlamalı VGA videoyu, 18 bit 3 3 HSA şablonlarıyla gerçek zamanlı olarak işleyerek sonuç videoyu bir VGA monitörde gösterir. Xilinx ISE yazılımının Place and Route raporuna göre gerçeklene sistemin Virtex-II 3000 üzerinde çalışabileceği maksimum saat frekansı yaklaşık 123 MHz dir. Yapı bir pikseli üç saat darbesinde işlediği için emülatör saniyede 41 Mega piksel (123/3) veriyi işleyebilmektedir. Emülaörün hızı FPGA deki çarpıcı bloklarının hızı ile sınırlanmış olduğundan emülatörün lojik yapısında gerçekleştirilecek değişikliklerle daha fazla hızlanması sağlanamaz. Virtex-II 3000 üzerinde oluşturulabilecek maksimum işlemci sayısı her işlemcide üç adet çarpıcı ve üç adet blok RAM kullanılması nedeniyle 32 (96/3) adettir ve yapı işlemci sayısının bir eksiği kadar Euler iterasyonu gerçekleştirebilmektedir. Sonuç olarak bu yapı Virtex-II 3000 FPGA i ile 3 3 şablonlara sahip tek katmanlı bir HSA yapısının dijital emülasyonunu 9 bit piksel, 18 bit şablon değerleri ve 31 Euler iterasyonu ile gerçekleştirebilmektedir. 16

18 17 G ö m S i s

19 Güvenli Fotoğraf Makinesi Tasarımı ve FPGA da Gerçeklenmesi Oğuz ŞEN, Müştak E. YALÇIN İstanbul Teknik Üniversitesi, Elektrik-Elektronik Fakültesi, Elektronik ve Haberleşme Müh. Bölümü, İstanbul Özet Bu çalışmada, çektiği fotoğrafın çekildiği andaki kriptografik özünü hesaplayarak fotoğraf içine gömen ve bu sayede fotoğraf üzerinde sonradan yapılacak her türlü değişikliğin anlaşılmasını sağlayan Güvenli Fotoğraf Makinesi tasarımı ve FPGA (Sahada Programlanabilir Kapı Dizileri) üzerinde gerçeklemesi yapılmıştır. Giderek sayısallaşan fotoğrafçılık ve bu fotoğrafları düzenlemeye yarayan bilgisayar programlarındaki gelişmeler, her gün gördüğümüz onlarca fotoğrafın orijinalliğinde kuşku yaratıyor. Böyle bir ortamda bilginin bütünlüğünün garantilenebilmesi özellikle de bazı uygulama alanlarında giderek önem kazanmaktadır. Tasarlanan ve gerçeklenen Güvenli Fotoğraf Makinesi sayısal fotoğrafların kullanıldığı önemli noktalarda verinin bütünlüğünü yani fotoğrafın çekildiği andan sonra değiştirilmediğini garanti edebilmektedir. Sayısal fotoğrafın üzerinde çekildiği anda AES (ileri şifreleme standardı) tabanlı 128 bitlik bir kriptografik hash (öz) fonksiyonu koşturulmaktadır. Bu tek yönlü fonksiyon sonucu, sadece Güvenli Fotoğraf Makinesi ve sistem sahibi kurumda bulunan bir anahtar kullanılarak hesaplanabilir. Çekilen fotoğraf sistem sahibi kuruma ulaştığında fotoğraf üzerinde aynı fonksiyon aynı anahtar ile koşturularak beklenen öz değeri hesaplanır ve fotoğraf içine gömülen değer ile karşılaştırılır. Uyuşmazlık durumunda fotoğraf üzerinde çekildiği andan sonra bir değişiklik yapıldığı belirlenmektedir. Değerlerin birbirini tutması ise fotoğrafın orijinalliğini garanti etmektedir. Gerçeklenen fotoğraf makinesinin çektiği fotoğraflar şifrelenmiş formatta değildir, yani çekilen fotoğraflar herkes tarafından görülebilmektedir. Ancak çekilen bu fotoğraflar bilgisayarda herhangi bir fotoğraf düzenleme programı tarafından herhangi bir şekilde değiştirilirse, beklenen gerçek öz değeri hesaplanıp fotoğraf içine gömülemediğinden sistem sahibi kurum bu değişikliği fark edebilmektedir. Güvenli Fotoğraf Makinesi FPGA üzerinde gerçeklenmiştir. Tasarlanan sayısal sistemin tamamı VHDL ile kodlanmıştır. Gerçekleme için bir kamera modülü FPGA geliştirme kitine bağlanmış ve fotoğraf çekme işlemi gerçekleştirilmiştir. Ardından çekilen fotoğraf FPGA geliştirme kiti üzerinde bulunan RAM e yazılmış ve fotoğraf piksel bilgisi üzerinde tek yönlü kriptografik hash fonksiyonu koşturulmuştur. Fonksiyon çıktısı öz değeri, fotoğraf standartlarına bağlı kalınmak üzere fotoğraf başlık bölgesinde oluşturulan yeni alana gömülmüştür. Doğrulama işlemi Matlab ve Xilinx benzetim ortamında yapılmaktadır. Yazılan kod, fotoğrafın içinde gömülü olan öz değer ile kendi elde ettiği Hash fonksiyonu sonucu öz değeri karşılaştırarak fotoğrafın orijinal olup olmadığını tespit etmektedir. Bu sayede sistem sahibi kurum olası yanıltma teşebbüsünden korunmaktadır. Projenin; özellikle askeri ve adli alanda, ayrıca görsel medyada uygulama alanı bulabileceği düşünülmektedir. 18

20 19 G ö m S i s

21 FFT Algoritmalarının FPGA Üzerinde Gerçeklenmesi Tuba AYHAN, Müştak E. YALÇIN İstanbul Teknik Üniversitesi, Elektrik-Elektronik Fakültesi, Elektronik ve Haberleşme Müh. Bölümü, İstanbul Özet Bu çalışmada, FFT (Fast Fourier Transform - Hızlı Fourier Dönüşümü) algoritmaları, sayısal işaret işleme alanında da kullanımı gittikçe yaygınlaşan FPGA üzerinde gerçeklenmiştir. FFT, sayısal işaret işlemenin tüm alt dallarında temel bir algoritma olarak karşımıza çıkmaktadır. Dolayısıyla, haberleşme sistemlerinden görüntü işlemeye, biyomedikal işaret işlemeden sonar ve radar uygulamalarına kadar bir çok alanda Fourier dönüşümünü sağlayan bir işlemciye ihtiyaç duyulmaktadır. Bu çalışmada, tek bir frekans için genlik değeri hesaplanmakta kullanılan Goertzel FFT Algoritması, 32 frekans noktasına kadar FFT işlemlerini kolaylaştıran Rader FFT Algoritması ve daha fazla noktada Fourier dönüşümü için sıklıkla kullanılan Cooley-Tukey FFT Algoritmaları incelenmiştir. Her bir algoritma, kullanım alanlarına, sağladığı kolaylıklara, avantajlarına ve dezavantajlarına uygun farklı tasarım blokları kullanılarak FPGA üzerinde gerçeklenmiştir. Her bir algoritma için gerçekleme süreci ise benzerdir. Analog işaret 8 bit ADC kullanılarak 8kHz ile örneklenmiş, FPGA üzerinde FFT bloğunda kullanılabilecek bir sayı formatına dönüştürülmüştür. FFT bloğunun çıkışı ise hedef sisteme uygun bir formata çevrilmiştir. DTMF uygulamalarında kullanılan Goetzel FFT Algoritması gerçeklenirken, sonuçların kesinliği öncelikli olarak gözetildiğinden, işlemler kayan noktalı aritmetiğe göre yapılmış, sözcük uzunluğu 32 bit seçilmiştir. FFT bloğunun çıkışı ise giriş işaretinin içinde aranan frekansın olup olmadığını gösterebilmek için uygun eşik değeri ile karşılaştırılıp, monitöre 1 veya 0 olarak verilmiştir. Daha fazla noktada (16-32) dönüşüm yapılmak istendiğinde Fourier dönüşümünü dairesel konvolüsyonla ifade eden Rader FFT Algoritması, gerçeklemesi kolay ve sonuçları sağlıklı bir algoritma olduğu için tercih edilir. Sonuçlarda büyük sapmalar görünmediğinden sabit noktalı aritmetik kullanılmış, sözcük uzunluğu ise 16 bit olarak seçilmiştir. FFT bloğunun çıkışları 8 bite indirilip, DAC den geçirilerek osiloskopta gözlenmiştir. Cooley-Tukey FFT Algoritması gerçeklenirken ise nokta sayısı arttığından, FPGA içinde bulunan blok RAM ler kullanılmıştır. Dolayısıyla sözcük uzunluğunu belirleyen blok RAM olmuştur. Önceki algoritmalardan farklı olarak Cooley-Tukey FFT Algoritması, bir frekans değerine ait fazı da hesaplar. Bu da işlemlerin karmaşık sayılarla yapılmasını gerektirir. 16 bit sözcük uzunluklu kayan noktalı aritmetiğe göre karmaşık toplama ve çarpma alt blokları oluşturulmuş, bunlar FFT bloğunda kullanılmıştır. FFT bloğunun ModelSim ile benzetimi yapılmış, çıkışlar MATLAB a aktarılarak test edilmiştir. FFT bloklarının gerçeklenmesi diğer işaret işleme uygulamaları için bir ön hazırlık olarak düşünülebilir. Sayısal işaret işleme uygulamasına bağlı olarak bu bloklar olduğu gibi ya da birbiri ile birleştirilerek kullanılabilirler. 20

22 21 G ö m S i s

23 CRYPTODIN Kriptolu Yazılımsal Mikroişlemci Tolga AYAV, K. Atilla TOKER, Gökhan AKSAKALLI, Nurtürk HARSA İzmir Yüksek Teknoloji Enstitüsü (İYTE), Bilgisayar Mühendisliği Bölümü, İzmir Özet CryptOdin, özellikle gömülü sistem uygulamaları için tasarlanmış ve şifrelenmiş (kriptolu) program kodlarını çalıştırabilen 16 bitlik bir yazılımsal mikroişlemcidir. CryptOdin in temel özelliklerini aşağıdaki gibi sıralayabiliriz: 16 bit adres ve 16 bit veri yolu Harvard Mimarisi 32 adet kayıtçı 38 adet komut 16 bit ve 32 bit olmak üzere iki farklı uzunlukta komut Pipelining Max. 68 MHz saat frekansı Kriptolu program çalıştırabilme CryptOdin yapısal ve davranışsal programlama modelleri kullanılarak VHDL dilinde kodlanmış, hedef FPGA modeli Spartan3E - xc3s500e olarak seçilmiştir. Buna göre FPGA kullanımıyla ilgili sentezleyici raporu aşağıdaki gibi elde edilmiştir: Number of Slices: 1020 out of % Number of Slice Flip Flops: 815 out of % Number of 4 input LUTs: 891 out of % Number of IOs: 70 Number of bonded IOBs: 70 out of 92 76% IOB Flip Flops: 1 Number of MULT18X18SIOs: 1 out of 20 5% Number of GCLKs: 1 out of 24 4% Zamanlamayla ilgili rapor ise: Min. clock period: ns (Max. frequency: MHz) şeklinde elde edilmiştir. Gömülü sistemlerde son derece önemli olabilecek konulardan biri de algoritmanın gizlenmesidir. Örneğin çok farklı özelliklere ve fonksiyonlara sahip askeri amaçlı bir donanımın içerisinde çalışmakta olan programın gizlenmesi büyük önem taşıyabilir. Bu durumda kullanılabilecek yöntemlerden biri algoritmanın bir bütün olarak içerisine gömüldüğü özel amaçlı bir entegre devre (ASIC) tasarlamaktır. Bu yöntemin dezavantajı entegrenin uygulamaya yönelik olması ve algoritmada yapılacak en küçük bir değişiklikte entegre devrenin tasarımının değişmesi ve yeniden üretim sürecine girilmesi zorunluluğudur. CryptOdin, harici hafızada şifrelenmiş olarak saklanan program kodunu çalıştırarak en üst seviyede güvenlik sağlayacaktır. Mikroişlemcinin ters mühendislik yöntemleriyle analiz edilmesini engelleyecek önlemlerin alınmasıyla elde edilecek güvenlik, kullanılacak şifreleme standartının gücüne bağlı olacaktır. 22

KASIRGA 4. GELİŞME RAPORU

KASIRGA 4. GELİŞME RAPORU KASIRGA 4. GELİŞME RAPORU 14.07.2008 Ankara İçindekiler İçindekiler... 2 Giriş... 3 Kasırga Birimleri... 3 Program Sayacı Birimi... 3 Bellek Birimi... 3 Yönlendirme Birimi... 4 Denetim Birimi... 4 İşlem

Detaylı

Bitirme Ödevi Sunumu PLATFORM BAĞIMSIZ BENZETİM PROGRAMI. Danışman : Yrd.Doç.Dr. D Feza BUZLUCA Gökhan Akın ŞEKER

Bitirme Ödevi Sunumu PLATFORM BAĞIMSIZ BENZETİM PROGRAMI. Danışman : Yrd.Doç.Dr. D Feza BUZLUCA Gökhan Akın ŞEKER Bitirme Ödevi Sunumu BERKELEY RISC I işlemcisi İÇİN PLATFORM BAĞIMSIZ BENZETİM PROGRAMI Danışman : Yrd.Doç.Dr. D Feza BUZLUCA 0495 0639 Sunum Planı Ödev konusu hakkında Berkeley RISC I işlemcisi hakkında

Detaylı

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber FPGA ile Kablosuz Görüntü Aktarımı Yusuf Onur Koçberber Seminer 2009 Yaz Plan FPGA Genel bilgiler FPGA nın İç Yapısı, Nasıl Programlanabiliyor?, Nasıl Çalışıyor? Neden bu kadar popüler oldu? MPW Her şeyin

Detaylı

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi Buse Ustaoğlu Berna Örs Yalçın İçerik Giriş Çalişmanın Amacı Mikroişlemciye Hata Enjekte Etme Adımları Hata Üreteci Devresi

Detaylı

Bilgisayar Mimarisi Nedir?

Bilgisayar Mimarisi Nedir? BİLGİSAYAR MİMARİSİ Bilgisayar Mimarisi Nedir? Bilgisayar mimarisi, diğer mimariler gibi, bir yapı kullanıcısının ihtiyaçlarını belirleme ve bu ihtiyaçları ekonomik ve teknolojik kısıtlamalar dahilinde

Detaylı

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Programlanabilir Lojik Basit Programlanabilir Lojik Cihazlar (Simple Programmable Logic Device - SPLD) ** PAL (Programmable

Detaylı

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür.

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür. İŞLEMCİLER (CPU) Mikroişlemci Nedir? Mikroişlemci, hafıza ve giriş/çıkış birimlerini bulunduran yapının geneline mikrobilgisayar; CPU' yu bulunduran entegre devre çipine ise mikroişlemci denir. İşlemciler

Detaylı

Tarih Saat Modül Adı Öğretim Üyesi. 01/05/2018 Salı 3 Bilgisayar Bilimlerine Giriş Doç. Dr. Hacer Karacan

Tarih Saat Modül Adı Öğretim Üyesi. 01/05/2018 Salı 3 Bilgisayar Bilimlerine Giriş Doç. Dr. Hacer Karacan BİLGİ TEKNOLOJİLERİ YÖNETİMİ EĞİTİM MODÜLLERİ Tarih Saat Modül Adı Öğretim Üyesi 01/05/2018 Salı Bilgisayar Bilimlerine Giriş Doç. Dr. Hacer Karacan Bu dersin amacı, bilgisayar bilimlerinin temel kavramlarını

Detaylı

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar) Bus

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar)

Detaylı

DENEY 3: DTMF İŞARETLERİN ÜRETİLMESİ VE ALGILANMASI

DENEY 3: DTMF İŞARETLERİN ÜRETİLMESİ VE ALGILANMASI DENEY 3: DTMF İŞARETLERİN ÜRETİLMESİ VE ALGILANMASI AMAÇ: DTMF işaretlerin yapısının, üretim ve algılanmasının incelenmesi. MALZEMELER TP5088 ya da KS58015 M8870-01 ya da M8870-02 (diğer eşdeğer entegreler

Detaylı

Mikrobilgisayar Sistemleri ve Assembler

Mikrobilgisayar Sistemleri ve Assembler Mikrobilgisayar Sistemleri ve Assembler Bahar Dönemi Öğr.Gör. Vedat MARTTİN Konu Başlıkları Mikrobilgisayar sisteminin genel yapısı,mimariler,merkezi işlem Birimi RAM ve ROM bellek özellikleri ve Çeşitleri

Detaylı

DENİZ HARP OKULU BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ

DENİZ HARP OKULU BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ DENİZ HARP OKULU BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ Dersin Adı Kodu Sınıf/Y.Y. Ders Saati (T+U+L) Kredi AKTS Bilgisayar Organizasyonu ve Mimarisi BİM-312 3/I 3+0+0 3 4 Dersin

Detaylı

EEM 419-Mikroişlemciler Güz 2017

EEM 419-Mikroişlemciler Güz 2017 EEM 419-Mikroişlemciler Güz 2017 Katalog Bilgisi : EEM 419 Mikroişlemciler (3+2) 4 Bir mikroişlemci kullanarak mikrobilgisayar tasarımı. Giriş/Çıkış ve direk hafıza erişimi. Paralel ve seri iletişim ve

Detaylı

MİKROİŞLEMCİ MİMARİLERİ

MİKROİŞLEMCİ MİMARİLERİ MİKROİŞLEMCİ MİMARİLERİ Mikroişlemcilerin yapısı tipik olarak 2 alt sınıfta incelenebilir: Mikroişlemci mimarisi (Komut seti mimarisi), Mikroişlemci organizasyonu (İşlemci mikromimarisi). CISC 1980 lerden

Detaylı

VIERO ARAÇ SAYIM SİSTEMİ

VIERO ARAÇ SAYIM SİSTEMİ VIERO ARAÇ SAYIM SİSTEMİ VIERO, görüntü tabanlı analiz sayesinde, ortalama araç hızı bilgisi üretmekte ve araç yoğunluğunu da ölçmektedir. Viero Araç Sayım Sistemi Viero Araç Sayım Sistemi, görüntü tabanlı

Detaylı

İşletim Sistemleri (Operating Systems)

İşletim Sistemleri (Operating Systems) İşletim Sistemleri (Operating Systems) 1 İşletim Sistemleri (Operating Systems) Genel bilgiler Ders kitabı: Tanenbaum & Bo, Modern Operating Systems:4th ed., Prentice-Hall, Inc. 2013 Operating System Concepts,

Detaylı

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK Yıldız Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü Lisans Üstü Semineri Bahar 2009 Giriş Programlanabilir Lojik - SPLD FPGA

Detaylı

Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü

Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü 1 BİLGİSAYAR MİMARİSİ Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü http:// http:// Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/

Detaylı

PLC (Programlanabilir Kontrol Cihazı) TABANLI SİSTEMLERİN İNTERNET ÜZERİNDEN İZLENMESİ

PLC (Programlanabilir Kontrol Cihazı) TABANLI SİSTEMLERİN İNTERNET ÜZERİNDEN İZLENMESİ PLC (Programlanabilir Kontrol Cihazı) TABANLI SİSTEMLERİN İNTERNET ÜZERİNDEN İZLENMESİ Derya Birant, Alp Kut Dokuz Eylül Üniversitesi Bilgisayar Mühendisliği Bölümü İÇERİK Giriş PLC nedir? PLC lerin Uygulama

Detaylı

Yönelticiler ve Ağ Anahtarları Teorik Altyapı

Yönelticiler ve Ağ Anahtarları Teorik Altyapı Proje Danışmanı: Yrd Doç Dr Ece G. SCHMIDT Proje Sorumlusu: Mustafa SANLI 9.5.2008 Rapor no: 3 Bu proje Orta Doğu Teknik Üniversitesi Elektrik-Elektronik Mühendisliği Bölümü nde yürütülmekte olan Yüksek

Detaylı

BSM 532 KABLOSUZ AĞLARIN MODELLEMESİ VE ANALİZİ OPNET MODELER

BSM 532 KABLOSUZ AĞLARIN MODELLEMESİ VE ANALİZİ OPNET MODELER BSM 532 KABLOSUZ AĞLARIN MODELLEMESİ VE ANALİZİ OPNET MODELER Yazılımı ve Genel Özellikleri Doç.Dr. Cüneyt BAYILMIŞ Kablosuz Ağların Modellemesi ve Analizi 1 OPNET OPNET Modeler, iletişim sistemleri ve

Detaylı

DONANIM KURULUMU. Öğr. Gör. Murat YAZICI. 1. Hafta.

DONANIM KURULUMU. Öğr. Gör. Murat YAZICI. 1. Hafta. 1. Hafta DONANIM KURULUMU Öğr. Gör. Murat YAZICI www.muratyazici.com Artvin Çoruh Üniversitesi, Artvin Meslek Yüksekokulu Bilgisayar Teknolojisi Programı Dersin İçeriği Ekran Kartı (Graphic Card, Video

Detaylı

Bilgisayar Mimarisi ve Organizasyonu Giriş

Bilgisayar Mimarisi ve Organizasyonu Giriş + Bilgisayar Mimarisi ve Organizasyonu Giriş Bilgisayar Mimarisi Bilgisayar Organizasyonu Programcının görebileceği bir sistemin nitelikleri Bir programın mantıksal yürütülmesi üzerinde direk bir etkisi

Detaylı

SPARC v8 İŞLEMCİ SİMÜLASYONU

SPARC v8 İŞLEMCİ SİMÜLASYONU SPARC v8 İŞLEMCİ SİMÜLASYONU BİTİRME ÖDEVİ SUNUMU D a n ı ş m a n : Yrd. D oç. D r. F eza B U Z L U C A EZGİ ZÜMRÜT ULAŞ 040080194 Sunum Konuları 2 RISC İşlemciler Programlama Dili Seçimi SPARC v8 Yazılım

Detaylı

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN Bilgisayar Mühendisliğine Giriş Yrd.Doç.Dr.Hacer KARACAN Mikroişlemci Nedir? Bir bilgisayarın en önemli parçası Mikroişlemcisidir. Hiçbir bilgisayar mikroişlemci olmadan çalışamaz. Bu nedenle Mikroişlemci

Detaylı

VIERO, görüntü tabanlı analiz sayesinde, ortalama araç hızı bilgisi üretmekte ve araç yoğunluğunu da ölçmektedir. VIERO Araç Sayım Sistemi

VIERO, görüntü tabanlı analiz sayesinde, ortalama araç hızı bilgisi üretmekte ve araç yoğunluğunu da ölçmektedir. VIERO Araç Sayım Sistemi ARAÇ SAYIM SİSTEMİ VIERO, görüntü tabanlı analiz sayesinde, ortalama araç hızı bilgisi üretmekte ve araç yoğunluğunu da ölçmektedir. VIERO Araç Sayım Sistemi VIERO Araç Sayım Sistemi, görüntü tabanlı olarak,

Detaylı

Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları

Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları 2018-2019 Güz Yarıyılı Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları Dr.Öğr.Üyesi Anıl Çelebi OV7670 kamera üzerinden VGA üzerinden görüntü aktarma ve video sinyal işleme. Bu çalışmada OV7670 sensöründen

Detaylı

İşletim Sistemlerine Giriş

İşletim Sistemlerine Giriş İşletim Sistemlerine Giriş İşletim Sistemleri ve Donanım İşletim Sistemlerine Giriş/ Ders01 1 İşletim Sistemi? Yazılım olmadan bir bilgisayar METAL yığınıdır. Yazılım bilgiyi saklayabilir, işleyebilir

Detaylı

YENİLENEBİLİR ENERJİ KAYNAKLARI RÜZGAR ENERJİSİ SİSTEMLERİ Eğitim Merkezi Projesi

YENİLENEBİLİR ENERJİ KAYNAKLARI RÜZGAR ENERJİSİ SİSTEMLERİ Eğitim Merkezi Projesi YENİLENEBİLİR ENERJİ KAYNAKLARI RÜZGAR ENERJİSİ SİSTEMLERİ Eğitim Merkezi Projesi Konu Başlıkları Enerjide değişim Enerji sistemleri mühendisliği Rüzgar enerjisi Rüzgar enerjisi eğitim müfredatı Eğitim

Detaylı

YENİLENEBİLİR ENERJİ EĞİTİM SETİ

YENİLENEBİLİR ENERJİ EĞİTİM SETİ YENİLENEBİLİR ENERJİ EĞİTİM SETİ Yenilenebilir enerji sistemleri eğitim seti temel olarak rüzgar türbini ve güneş panelleri ile elektrik üretimini uygulamalı eğitime taşımak amacıyla tasarlanmış, kapalı

Detaylı

GÖRÜNTÜ TABANLI ALGILAMA İLE QUADROTORUN HAREKETLİ BİR CİSMİ TAKİBİ

GÖRÜNTÜ TABANLI ALGILAMA İLE QUADROTORUN HAREKETLİ BİR CİSMİ TAKİBİ GÖRÜNTÜ TABANLI ALGILAMA İLE QUADROTORUN HAREKETLİ BİR CİSMİ TAKİBİ 1 PROJENİN TANIMI Bu projede bir quadrotora, görüntü tabanlı algılama ile hareketli bir nesneyi otonom olarak takip etme özelliği kazandırılmıştır.

Detaylı

Bilgisayar Donanım 2010 BİLGİSAYAR

Bilgisayar Donanım 2010 BİLGİSAYAR BİLGİSAYAR CPU, bellek ve diğer sistem bileşenlerinin bir baskı devre (pcb) üzerine yerleştirildiği platforma Anakart adı verilmektedir. Anakart üzerinde CPU, bellek, genişleme yuvaları, BIOS, çipsetler,

Detaylı

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK Mikroişlemci HAFTA 1 HAFIZA BİRİMLERİ Program Kodları ve verinin saklandığı bölüm Kalıcı Hafıza ROM PROM EPROM EEPROM FLASH UÇUCU SRAM DRAM DRRAM... ALU Saklayıcılar Kod Çözücüler... GİRİŞ/ÇIKIŞ G/Ç I/O

Detaylı

İvme VGA, İvme s_2.1 fiziksel işlemci çekirdeğinin, çalışan iç yapısının herhangi bir simülasyon olmaksızın fiziksel olarak dış dünyaya aktarımıdır.

İvme VGA, İvme s_2.1 fiziksel işlemci çekirdeğinin, çalışan iç yapısının herhangi bir simülasyon olmaksızın fiziksel olarak dış dünyaya aktarımıdır. 1 İVME VGA İvme VGA, İvme s_2.1 fiziksel işlemci çekirdeğinin, çalışan iç yapısının herhangi bir simülasyon olmaksızın fiziksel olarak dış dünyaya aktarımıdır. Genel olarak yazmaçlar, hafıza elemanlarından

Detaylı

BÖLÜM 2 SAYI SİSTEMLERİ

BÖLÜM 2 SAYI SİSTEMLERİ İÇİNDEKİLER BÖLÜM 1 GİRİŞ 1.1. Lojik devre içeriği... (1) 1.1.1. Kodlama, Kod tabloları... (2) 1.1.2. Kombinezonsal Devre / Ardışıl Devre... (4) 1.1.3. Kanonik Model / Algiritmik Model... (4) 1.1.4. Tasarım

Detaylı

Onur ELMA TÜRKIYE DE AKILLI ŞEBEKELER ALT YAPISINA UYGUN AKILLI EV LABORATUVARI. Yıldız Teknik Üniversitesi Elektrik Mühendisliği

Onur ELMA TÜRKIYE DE AKILLI ŞEBEKELER ALT YAPISINA UYGUN AKILLI EV LABORATUVARI. Yıldız Teknik Üniversitesi Elektrik Mühendisliği 1 TÜRKIYE DE AKILLI ŞEBEKELER ALT YAPISINA UYGUN AKILLI EV LABORATUVARI SMART HOME LABORATORY FOR SMART GRID INFRASTRUCTURE IN TURKEY Yıldız Teknik Üniversitesi Elektrik Mühendisliği Sunan Onur ELMA 2

Detaylı

YILDIZ TEKNIK ÜNİVERSİTESİ ELEKTRİK - ELEKTRONİK FAKULTESİ ELEKLTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ

YILDIZ TEKNIK ÜNİVERSİTESİ ELEKTRİK - ELEKTRONİK FAKULTESİ ELEKLTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ YILDIZ TEKNIK ÜNİVERSİTESİ ELEKTRİK - ELEKTRONİK FAKULTESİ ELEKLTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ GEZGİN ROBOT UYGULAMASI ORHAN BEDİR ORHAN MERT Proje Danışmanı : Y.Doç.Dr. Tuncay UZUN İstanbul,

Detaylı

Embedded(Gömülü)Sistem Nedir?

Embedded(Gömülü)Sistem Nedir? Embedded(Gömülü)Sistem Nedir? Embedded Computing System de amaç; elektronik cihaza bir işlevi sürekli tekrar ettirmektir. Sistem içindeki program buna göre hazırlanmıştır. PC lerde (Desktop veya Laptop)

Detaylı

Hareketli. Sistem. Sistemleri. Hareketli. Sistemi

Hareketli. Sistem. Sistemleri. Hareketli. Sistemi Sistemi tartım sistemleri birçok yapının birbirine entegre edilmesiyle oluşur. kalite kriteri sistemleri direkt olarak etkilemektedir. Bu parçaların çoğunun direkt üretimini gerçekleştirebiirnek kurulacak

Detaylı

T E M E L K AV R A M L A R. Öğr.Gör. Günay TEMÜR / Teknoloji F. / Bilgisayar Müh.

T E M E L K AV R A M L A R. Öğr.Gör. Günay TEMÜR / Teknoloji F. / Bilgisayar Müh. B İ L G İ S AY A R M Ü H E N D İ S L İ Ğ İ N E G İ R İ Ş T E M E L K AV R A M L A R BAŞLAYALIM BİLGİSAYAR (COMPUTER) NEDİR? Bilgisayar, kullanıcıdan aldığı verilerle aritmetiksel ve mantıksal işlemler

Detaylı

Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS. Bilgisayar Organizasyonu BIL

Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS. Bilgisayar Organizasyonu BIL DERS BİLGİLERİ Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS Bilgisayar Organizasyonu BIL321 5 3+2 4 5 Ön Koşul Dersleri Dersin Dili Dersin Seviyesi Dersin Türü Türkçe Lisans Zorunlu / Yüz Yüze

Detaylı

ENDÜSTRİYEL GÖRÜNTÜ İŞLEME. atel sistem

ENDÜSTRİYEL GÖRÜNTÜ İŞLEME. atel sistem endüstriyel görüntü işleme ölçüm ve kontrol leri, tecrübe ve bilgi birikimiyle işletmelerin ihtiyaçlarını en kapsamlı şekilde analiz ederek, en ekonomik ve uygun çözümü sunar. Son yılların vazgeçilmez

Detaylı

Yazılım Tabanlı HF Telsiz Ailesi.

Yazılım Tabanlı HF Telsiz Ailesi. Yazılım Tabanlı HF Telsiz Ailesi www.aselsan.com.tr HF TELSİZLER ASELSAN HF Telsiz Ailesi, 1.6-30 MHz bandında Kara, Deniz ve Hava Platformlarında, güvenilir ve emniyetli haberleşme imkanını Yazılım Tabanlı

Detaylı

Çok İşlemcili Yapılarda Sinyal İşleme Yazılımlarının Geliştirilmesi Uygulaması. Sinan Doğan, Esra Beyoğlu

Çok İşlemcili Yapılarda Sinyal İşleme Yazılımlarının Geliştirilmesi Uygulaması. Sinan Doğan, Esra Beyoğlu Çok İşlemcili Yapılarda Sinyal İşleme Yazılımlarının Geliştirilmesi Uygulaması Sinan Doğan, Esra Beyoğlu ASELSAN A.Ş., REHİS Grubu, Ankara 16 Nisan 2009 1 1 İçerik Sinyal İşleme Yazılımları Çok İşlemci

Detaylı

AKILLI KAVŞAK YÖNETİM SİSTEMİ

AKILLI KAVŞAK YÖNETİM SİSTEMİ AKILLI KAVŞAK YÖNETİM SİSTEMİ 1 1. PROJE ÖZETİ Dünya nüfusu, gün geçtikçe artmaktadır. Mevcut alt yapılar, artan nüfusla ortaya çıkan ihtiyaçları karşılamakta zorlanmaktadır. Karşılanamayan bu ihtiyaçların

Detaylı

İçİndekİler. 1. Bölüm - Mİkro Denetleyİcİ Nedİr? 2. Bölüm - MİkroDenetleyİcİlerİ Anlamak

İçİndekİler. 1. Bölüm - Mİkro Denetleyİcİ Nedİr? 2. Bölüm - MİkroDenetleyİcİlerİ Anlamak XIII İçİndekİler 1. Bölüm - Mİkro Denetleyİcİ Nedİr? Mikrodenetleyici Tanımı Mikrodenetleyicilerin Tarihçesi Mikroişlemci- Mikrodenetleyici 1. İki Kavram Arasındaki Farklar 2. Tasarım Felsefesi ve Mimari

Detaylı

Çalışma Adı : Uzaktan programlanabilir kayan yazı sistemi

Çalışma Adı : Uzaktan programlanabilir kayan yazı sistemi Yönetici: Doç.Dr. Cihan KARAKUZU Çalışma Adı : Lego robot uygulaması Bölümümüzde mevcut lego robotlardan biri üzerinde muhtelif senaryoları gerçeklemek Genel programlama ve el becerisi gerektirir Lego

Detaylı

KOCAELİ ÜNİVERSİTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ 2018/2019 GYY BİTİRME ÇALIŞMASI ÖNERİ FORMU. (Doç.Dr. M.

KOCAELİ ÜNİVERSİTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ 2018/2019 GYY BİTİRME ÇALIŞMASI ÖNERİ FORMU. (Doç.Dr. M. KOCAELİ ÜNİVERSİTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ 2018/2019 GYY BİTİRME ÇALIŞMASI ÖNERİ FORMU (Doç.Dr. M. Kemal GÜLLÜ) Derinlik kamerası ile alınan modellerin birleştirilmesi Derinlik kamerası,

Detaylı

ENF 100 Temel Bilgi Teknolojileri Kullanımı Ders Notları 2. Hafta. Öğr. Gör. Dr. Barış Doğru

ENF 100 Temel Bilgi Teknolojileri Kullanımı Ders Notları 2. Hafta. Öğr. Gör. Dr. Barış Doğru ENF 100 Temel Bilgi Teknolojileri Kullanımı Ders Notları 2. Hafta Öğr. Gör. Dr. Barış Doğru 1 Konular 1. Bilgisayar Nedir? 2. Bilgisayarın Tarihçesi 3. Günümüz Bilgi Teknolojisi 4. Bilgisayarların Sınıflandırılması

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Giriş Mikro işlemler Fetch cycle Indirect cycle Interrupt cycle Execute cycle Instruction

Detaylı

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi Bil101 Bilgisayar Yazılımı I Bilgisayar Yüksek Mühendisi Kullanıcıdan aldığı veri ya da bilgilerle kullanıcının isteği doğrultusunda işlem ve karşılaştırmalar yapabilen, veri ya da bilgileri sabit disk,

Detaylı

BEDEN EĞİTİMİ I: Haftalık ders 1 saattir (T-0 ) (U-l) (K-0).

BEDEN EĞİTİMİ I: Haftalık ders 1 saattir (T-0 ) (U-l) (K-0). I.SINIF-1.YARIYIL TÜRK DİLİ I : Haftalık ders 2 saattir (T-2 ) (U-0) (K-2). Ders İçeriği; % 10 Dil, Diller ve Türk Dili, % 15 Dil Bilgisi, Sözcük ve Cümle % 25 Kelime Türleri % 25 Anlatım Öğeleri ve Anlatım

Detaylı

Çalışma Açısından Bilgisayarlar

Çalışma Açısından Bilgisayarlar Çalışma Açısından Bilgisayarlar Ölçme sistemi ile hesaplama sistemi birbiriyle ilgili olmasına rağmen aynı değillerdir. Suyun sıcaklığı ve gürültünün şiddeti ile evdeki lambaların ölçülmesi aynı değillerdir.

Detaylı

Mobil ve Kablosuz Ağlar (Mobile and Wireless Networks)

Mobil ve Kablosuz Ağlar (Mobile and Wireless Networks) Mobil ve Kablosuz Ağlar (Mobile and Wireless Networks) Hazırlayan: M. Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Ders konuları 2 1 Kodlama ve modülasyon yöntemleri İletim ortamının özelliğine

Detaylı

Bilişim Teknolojilerine Giriş

Bilişim Teknolojilerine Giriş Bilişim Teknolojilerine Giriş Bilginin toplanması, işlenmesi, saklanması ve iletilmesini sağlayan teknolojilerin bütününe bilişim teknolojileri denir. Bilişim Teknolojisi Girilen verileri saklayan, işleyen,

Detaylı

Bir bölgede başka bir bölgeye karşılıklı olarak, veri veya haberin gönderilmesini sağlayan.sistemlerdir.

Bir bölgede başka bir bölgeye karşılıklı olarak, veri veya haberin gönderilmesini sağlayan.sistemlerdir. 1.1.3. Scada Yazılımından Beklenenler Hızlı ve kolay uygulama tasarımı Dinamik grafik çizim araçları Çizim kütüphaneleri Alarm yönetimi Tarih bilgilerinin toplanması Rapor üretimi 1.1.4. Scada Sistemleri

Detaylı

Mikroçita. Mikroçita Rapor 2:

Mikroçita. Mikroçita Rapor 2: Mikroçita Rapor 2: İşlemci projemizle ilgili olarak hazırlamış olduğumuz bu ikinci raporda öncelikli olarak vhdl kullanarak tasarladığımız işlemcimizin genel çalışmasını ilk rapora göre daha ayrıntılı

Detaylı

Ünite-2 Bilgisayar Organizasyonu. www.cengizcetin.net

Ünite-2 Bilgisayar Organizasyonu. www.cengizcetin.net Ünite-2 Bilgisayar Organizasyonu Bilgisayar Nedir? Belirli bir sonuç üretmek amacıyla; mantıksal kıyaslamalardan sonuç çıkarabilen, büyük miktarlarda bilgiyi depolayabilen ve gerektiğinde bu bilgileri

Detaylı

Programlanabilir Sayısal Sistemler

Programlanabilir Sayısal Sistemler T.C. MALTEPE ÜNİVERSİTESİ MÜHENDİSLİK VE DOĞA BİLİMLERİ FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ LİSANS PROGRAMI 2011-12 Bahar Yarıyılı Programlanabilir Sayısal Sistemler BİL322 AKTS Kredisi 5 3. yıl 6. yarıyıl

Detaylı

3 Fazlı Motorların Güçlerinin PLC ile Kontrolü. Doç. Dr. Ramazan BAYINDIR

3 Fazlı Motorların Güçlerinin PLC ile Kontrolü. Doç. Dr. Ramazan BAYINDIR 3 Fazlı Motorların Güçlerinin PLC ile Kontrolü Doç. Dr. Ramazan BAYINDIR Endüstride çok yaygın olarak kullanılan asenkron motorların sürekli izlenmesi ve arızalarının en aza indirilmesi büyük önem kazanmıştır.

Detaylı

RF İLE ÇOK NOKTADAN KABLOSUZ SICAKLIK ÖLÇÜMÜ

RF İLE ÇOK NOKTADAN KABLOSUZ SICAKLIK ÖLÇÜMÜ RF İLE ÇOK NOKTADAN KABLOSUZ SICAKLIK ÖLÇÜMÜ Fevzi Zengin f_zengin@hotmail.com Musa Şanlı musanli@msn.com Oğuzhan Urhan urhano@kou.edu.tr M.Kemal Güllü kemalg@kou.edu.tr Elektronik ve Haberleşme Mühendisliği

Detaylı

TBİL-405 Mikroişlemci Sistemleri Bölüm 2 1- % %01010 işleminin sonucu hangisidir? % %11000 %10001 %10101 %00011

TBİL-405 Mikroişlemci Sistemleri Bölüm 2 1- % %01010 işleminin sonucu hangisidir? % %11000 %10001 %10101 %00011 TBİL-405 Mikroişlemci Sistemleri Bölüm 2 1- %11010 - %01010 işleminin sonucu hangisidir? % 10000 %11000 %10001 %10101 %00011 2- %0101 1100 sayısının 1 e tümleyeni hangisidir? % 1010 0111 %11010 0011 %1010

Detaylı

Bilişim Sistemleri. Modelleme, Analiz ve Tasarım. Yrd. Doç. Dr. Alper GÖKSU

Bilişim Sistemleri. Modelleme, Analiz ve Tasarım. Yrd. Doç. Dr. Alper GÖKSU Bilişim Sistemleri Modelleme, Analiz ve Tasarım Yrd. Doç. Dr. Alper GÖKSU Ders Akışı Hafta 5. İhtiyaç Analizi ve Modelleme II Haftanın Amacı Bilişim sistemleri ihtiyaç analizinin modeli oluşturulmasında,

Detaylı

MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ

MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ KARADENİZ TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BİLGİSAYAR ORGANİZASYONU LABORATUVARI MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ 1. GİRİŞ Analog işaretleri sayısal işaretlere dönüştüren elektronik devrelere

Detaylı

Otomasyon Sistemleri Eğitiminde Animasyon Tabanlı Uygulamaların Yeri ve Önemi. Murat AYAZ*, Koray ERHAN**, Engin ÖZDEMİR**

Otomasyon Sistemleri Eğitiminde Animasyon Tabanlı Uygulamaların Yeri ve Önemi. Murat AYAZ*, Koray ERHAN**, Engin ÖZDEMİR** Otomasyon Sistemleri Eğitiminde Animasyon Tabanlı Uygulamaların Yeri ve Önemi Murat AYAZ*, Koray ERHAN**, Engin ÖZDEMİR** *Elektrik Eğitimi, Teknik Eğitim Fak., Kocaeli Üniversitesi 41380 Kocaeli **Enerji

Detaylı

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9 İÇİNDEKİLER BÖLÜM 1 TEMEL LOJİK KAPI DENEYLERİ 1-1 Lojik ve Anahtara Giriş 1 1-2 Lojik Kapı Devreleri... 9 a. Diyot Lojiği (DL) devresi b. Direnç-Transistor Lojiği (RTL) devresi c. Diyot-Transistor Lojiği

Detaylı

İŞLETİM SİSTEMİ KATMANLARI (Çekirdek, kabuk ve diğer temel kavramlar) Bir işletim sisteminin yazılım tasarımında ele alınması gereken iki önemli konu

İŞLETİM SİSTEMİ KATMANLARI (Çekirdek, kabuk ve diğer temel kavramlar) Bir işletim sisteminin yazılım tasarımında ele alınması gereken iki önemli konu İŞLETİM SİSTEMİ KATMANLARI (Çekirdek, kabuk ve diğer temel kavramlar) Bir işletim sisteminin yazılım tasarımında ele alınması gereken iki önemli konu bulunmaktadır; 1. Performans: İşletim sistemi, makine

Detaylı

EGE Üniversitesi Mühendislik Fakültesi Bilgisayar Müh. Bölümü 2013-2014 Öğretim Yılı Lisans Tezi Önerileri

EGE Üniversitesi Mühendislik Fakültesi Bilgisayar Müh. Bölümü 2013-2014 Öğretim Yılı Lisans Tezi Önerileri EGE Üniversitesi Mühendislik Fakültesi Bilgisayar Müh. Bölümü 2013-2014 Öğretim Yılı Lisans Tezi Önerileri Danışman Öğretim Üyesi: Kasım Sinan YILDIRIM 1) Tez Başlığı: Kablosuz Algılayıcı Ağlarında Hareketli

Detaylı

Yazılım Tabanlı HF Telsiz Ailesi.

Yazılım Tabanlı HF Telsiz Ailesi. Yazılım Tabanlı HF Telsiz Ailesi www.aselsan.com.tr YAZILIM TABANLI HF TELSİZ AİLESİ HF TELSİZLER ASELSAN HF Telsiz Ailesi, 1.6-30 MHz bandında Kara, Deniz ve Hava Platformlarında, güvenilir ve emniyetli

Detaylı

PARALEL HESAPLAMA ÇAĞRI GİDER ENES BİLGİN

PARALEL HESAPLAMA ÇAĞRI GİDER ENES BİLGİN PARALEL HESAPLAMA ÇAĞRI GİDER 13011016 ENES BİLGİN - 13011004 Paralel Hesaplama Nedir? Paralel Hesaplamanın Avantajları Paralel Hesaplamanın Kullanım Alanları Paralel Hesaplama Yöntemleri, Donanım ve Yazılım

Detaylı

MATLAB A GİRİŞ. EE-346 Hafta-1 Dr. Ayşe DEMİRHAN

MATLAB A GİRİŞ. EE-346 Hafta-1 Dr. Ayşe DEMİRHAN MATLAB A GİRİŞ EE-346 Hafta-1 Dr. Ayşe DEMİRHAN MATLAB Teknik ve bilimsel hesaplamalar için yazılmış yüksek performanslı bir yazılım geliştirme aracı MATrix LABoratory (MATLAB) Boyutlandırma gerekmeyen

Detaylı

BİLİŞİM TEKNOLOJİLERİNİN TEMELLERİ

BİLİŞİM TEKNOLOJİLERİNİN TEMELLERİ BİLİŞİM TEKNOLOJİLERİNİN TEMELLERİ 2.HAFTA Yonga Seti (Chipset) Anakart üzerinde yer alan bir dizi işlem denetçileridir. Bu denetçiler anakartın üzerindeki bilgi akış trafiğini denetler. Bilgisayarın kalitesi,

Detaylı

BİLGİ TEKNOLOJİLERİ SMO103

BİLGİ TEKNOLOJİLERİ SMO103 BİLGİ TEKNOLOJİLERİ SMO103 2. HAFTA BİLGİSAYAR SİSTEMİ, BİLGİSAYARI OLUŞTURAN BİRİMLER VE ÇALIŞMA PRENSİPLERİ ANAKART, İŞLEMCİ VE BELLEKLER SABİT DİSKLER, EKRAN KARTLARI MONİTÖRLER VE DİĞER DONANIM BİRİMLERİ

Detaylı

MONTE CARLO BENZETİMİ

MONTE CARLO BENZETİMİ MONTE CARLO BENZETİMİ U(0,1) rassal değişkenler kullanılarak (zamanın önemli bir rolü olmadığı) stokastik ya da deterministik problemlerin çözümünde kullanılan bir tekniktir. Monte Carlo simülasyonu, genellikle

Detaylı

ANOLOG-DİJİTAL DÖNÜŞTÜRÜCÜLER

ANOLOG-DİJİTAL DÖNÜŞTÜRÜCÜLER ADC ve DAC 1 BM-201 2 ANOLOG-DİJİTAL DÖNÜŞTÜRÜCÜLER Maksimum ve minimum sınırları arasında farklı değerler alarak değişken elektriksel büyüklüklere analog bilgi ya da analog değer denir. Akım ve gerilim

Detaylı

OTOMATİK KONTROL 18.10.2015

OTOMATİK KONTROL 18.10.2015 18.10.2015 OTOMATİK KONTROL Giriş, Motivasyon, Tarihi gelişim - Tanım ve kavramlar, Lineer Sistemler, Geri Besleme Kavramı, Sistem Modellenmesi, Transfer Fonksiyonları - Durum Değişkenleri Modelleri Elektriksel

Detaylı

Operatör panelleri FED

Operatör panelleri FED Operatör panelleri FED 120x32 to 1024x768 piksel çözünürlük Text bazlı monokrom ve renkli dokunmatik ekranlı tipler Entegre web tarayıcılı tipler Kullanıșlı WYSIWYG editörleriyle kolay tasarım Sistemden

Detaylı

Yazılım Mühendisliği 1

Yazılım Mühendisliği 1 Yazılım Mühendisliği 1 HEDEFLER Yazılım, program ve algoritma kavramları anlar. Yazılım ve donanım maliyetlerinin zamansal değişimlerini ve nedenleri hakkında yorum yapar. Yazılım mühendisliği ile Bilgisayar

Detaylı

Öğr. Gör. Hakan YÜKSEL hakanyuksel@sdu.edu.tr SÜLEYMAN DEMİREL ÜNİVERSİTESİ. Akademik Bilişim 2013 1

Öğr. Gör. Hakan YÜKSEL hakanyuksel@sdu.edu.tr SÜLEYMAN DEMİREL ÜNİVERSİTESİ. Akademik Bilişim 2013 1 Öğr. Gör. Hakan YÜKSEL hakanyuksel@sdu.edu.tr SÜLEYMAN DEMİREL ÜNİVERSİTESİ Akademik Bilişim 2013 1 İçerik Hareket Temelli İşlemler Temassız hareket algılayıcısı: Kinect Kinect Uygulamaları Kinect in getirdikleri

Detaylı

Görüntü Bağdaştırıcıları

Görüntü Bağdaştırıcıları Görüntü Bağdaştırıcıları Görüntü Bağdaştırıcıları (Ekran Kartları) Ekrandaki Görüntü Nasıl Oluşur? Monitörünüze yeteri kadar yakından bakarsanız görüntünün çok küçük noktalardan oluştuğunu görürsünüz.

Detaylı

CETP KOMPOZİTLERİN DELİNMELERİNDEKİ İTME KUVVETİNİN ANFIS İLE MODELLENMESİ MURAT KOYUNBAKAN ALİ ÜNÜVAR OKAN DEMİR

CETP KOMPOZİTLERİN DELİNMELERİNDEKİ İTME KUVVETİNİN ANFIS İLE MODELLENMESİ MURAT KOYUNBAKAN ALİ ÜNÜVAR OKAN DEMİR CETP KOMPOZİTLERİN DELİNMELERİNDEKİ İTME KUVVETİNİN ANFIS İLE MODELLENMESİ MURAT KOYUNBAKAN ALİ ÜNÜVAR OKAN DEMİR Çalışmanın amacı. SUNUM PLANI Çalışmanın önemi. Deney numunelerinin üretimi ve özellikleri.

Detaylı

DGridSim Gerçek Zamanlı Veri Grid Simülatörü. Yazılım Tasarımı Dokümanı v 1.0.1 01.08.2011. Mustafa Atanak Sefai Tandoğan Doç. Dr.

DGridSim Gerçek Zamanlı Veri Grid Simülatörü. Yazılım Tasarımı Dokümanı v 1.0.1 01.08.2011. Mustafa Atanak Sefai Tandoğan Doç. Dr. DGridSim Gerçek Zamanlı Veri Grid Simülatörü Yazılım Tasarımı Dokümanı v 1.0.1 01.08.2011 Mustafa Atanak Sefai Tandoğan Doç. Dr. Atakan Doğan 1. Sistem Mimarisi DGridSim katmanlı bir yapı göz önünde bulundurularak

Detaylı

Yazılım Mühendisliği Bölüm - 3 Planlama

Yazılım Mühendisliği Bölüm - 3 Planlama 1 Yazılım Mühendisliği Bölüm - 3 Planlama 2 3 4 Planlama 5 Yazılım geliştirme sürecinin ilk aşaması Başarılı bir proje geliştirebilmek için projenin tüm resminin çıkarılması işlemi Proje planlama aşamasında

Detaylı

Çoktan Seçmeli Değerlendirme Soruları Akış Şemaları İle Algoritma Geliştirme Örnekleri Giriş 39 1.Gündelik Hayattan Algoritma Örnekleri 39 2.Say

Çoktan Seçmeli Değerlendirme Soruları Akış Şemaları İle Algoritma Geliştirme Örnekleri Giriş 39 1.Gündelik Hayattan Algoritma Örnekleri 39 2.Say İÇİNDEKİLER 1. Bilgisayarın Yapısı Ve Programlama Dilleri Giriş 1 Bilgisayar ve Programlamanın Kısa Bir Tarihçesi 2 Donanım ve Yazılım Kavramları 3 Bilgisayarın Donanımsal yapısı 4 Giriş Birimi (Input

Detaylı

İşaret İşleme ve Haberleşmenin Temelleri. Yrd. Doç. Dr. Ender M. Ekşioğlu eksioglue@itu.edu.tr http://www2.itu.edu.tr/~eksioglue

İşaret İşleme ve Haberleşmenin Temelleri. Yrd. Doç. Dr. Ender M. Ekşioğlu eksioglue@itu.edu.tr http://www2.itu.edu.tr/~eksioglue İşaret İşleme ve Haberleşmenin Temelleri Yrd. Doç. Dr. Ender M. Ekşioğlu eksioglue@itu.edu.tr http://www2.itu.edu.tr/~eksioglue İşaretler: Bilgi taşıyan işlevler Sistemler: İşaretleri işleyerek yeni işaretler

Detaylı

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ PROGRAMIN ADI DERSIN KODU VE ADI DERSIN ISLENECEGI DÖNEM HAFTALIK DERS SAATİ DERSİN SÜRESİ ENDÜSTRİYEL ELEKTRONİK MİK.İŞLEMCİLER/MİK.DENETLEYİCİLER-2 2. Yıl, IV. Yarıyıl (Güz) 4 (Teori: 3, Uygulama: 1,

Detaylı

Temel Bilgi Teknolojileri -1

Temel Bilgi Teknolojileri -1 Temel Bilgi Teknolojileri -1 Dr. Öğr. Üyesi Aslı Eyecioğlu Özmutlu aozmutlu@bartin.edu.tr 1 Konu Başlıkları Donanım Bileşenleri Harici Donanım Dahili Donanım 2 Donanım Bileşenleri Bilgisayarın fiziksel

Detaylı

Çözümleri DEĞİŞKEN MESAJ SİSTEMLERİ. İSBAK A.Ş., İstanbul Büyükşehir Belediyesi iştirakidir.

Çözümleri DEĞİŞKEN MESAJ SİSTEMLERİ. İSBAK A.Ş., İstanbul Büyükşehir Belediyesi iştirakidir. Çözümleri DEĞİŞKEN MESAJ SİSTEMLERİ İSBAK A.Ş., İstanbul Büyükşehir Belediyesi iştirakidir. (DMS) Değişken Mesaj Sistemleri (DMS); trafik amaçlı LED ler kullanılarak grafik tabanlı yazı, şekil ve resim

Detaylı

PROGRAMLAMAYA GİRİŞ. Öğr. Gör. Ayhan KOÇ. Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay.

PROGRAMLAMAYA GİRİŞ. Öğr. Gör. Ayhan KOÇ. Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay. PROGRAMLAMAYA GİRİŞ Öğr. Gör. Ayhan KOÇ Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay., 2007 Algoritma ve Programlamaya Giriş, Ebubekir YAŞAR, Murathan Yay., 2011

Detaylı

Teknik Katalog [Osiloskop]

Teknik Katalog [Osiloskop] Teknik Katalog [Osiloskop] [OX 7204] PCE Teknik Cihazlar Paz. Tic. Ltd.Şti. Halkalı Merkez Mah. Pehlivan Sok. No 6/C 34303 Küçükçekmece/ İstanbul Türkiye Mail: info@pce-cihazlari.com.tr Telefon: +90 (0)

Detaylı

Deniz Elektronik Laboratuvarı www.denizelektronik.com Tel:0216-348 65 21 D7220_RV5

Deniz Elektronik Laboratuvarı www.denizelektronik.com Tel:0216-348 65 21 D7220_RV5 STEREO FM VERİCİ delab Deniz Elektronik Laboratuvarı Tel:0216-348 65 21 D7220_RV5 2013 PC üzerinden frekans ve kişisel bilgi kaydı. RS232 ve RDS sistem girişli.stereo-mono seçme özellikli,yüksek performanslı

Detaylı

Mimari Esaslar. Mikroişlemcinin mimari esasları; Kaydediciler Veriyolları İş hatları dır.

Mimari Esaslar. Mikroişlemcinin mimari esasları; Kaydediciler Veriyolları İş hatları dır. Mimari Esaslar Mikroişlemcinin mimari esasları; Kaydediciler Veriyolları İş hatları dır. Bu unsurların büyüklüğü, sayısı ve yapısı o işlemcinin yeteneklerini belirler. Mimari farlılıklarda; bu konularda

Detaylı

DY-45 OSĐLOSKOP KĐTĐ. Kullanma Kılavuzu

DY-45 OSĐLOSKOP KĐTĐ. Kullanma Kılavuzu DY-45 OSĐLOSKOP KĐTĐ Kullanma Kılavuzu 01 Kasım 2010 Amatör elektronikle uğraşanlar için osiloskop pahalı bir test cihazıdır. Bu kitte amatör elektronikçilere hitap edecek basit ama kullanışlı bir yazılım

Detaylı

Temel Kavramlar-2. Aşağıda depolama aygıtlarının kapasitelerini inceleyebilirsiniz.

Temel Kavramlar-2. Aşağıda depolama aygıtlarının kapasitelerini inceleyebilirsiniz. Temel Kavramlar-2 Byte = 8 Bit in bir araya gelmesiyle oluşan bellektir. Bilgisayarın tanıdığı harf rakam ve özel karakterlerden her biri 1 byte lık yer kaplar. Yani her bir harfin 1 veya 0 dan oluşan

Detaylı

Geleneksel ekran kartları, bilgileri, sistem belleğinden kendi belleğine alıp monitöre göndermekteydi. Günümüzdeki ekran kartları ise görüntülenecek

Geleneksel ekran kartları, bilgileri, sistem belleğinden kendi belleğine alıp monitöre göndermekteydi. Günümüzdeki ekran kartları ise görüntülenecek EKRAN KARTI Ekran kartı, işlemcide (CPU) işlenen verileri monitörde görüntülenmesini sağlayan, sinyallere dönüştüren bir genişleme kartıdır. Ekran kartları bilgisayar sistemine anakart üzerinde bulunan

Detaylı

1. GİRİŞ 2. UYARLANIR DİZİ ALGORİTMALARI

1. GİRİŞ 2. UYARLANIR DİZİ ALGORİTMALARI 1. GİRİŞ İletişim teknolojileri, özellikle de son yıllarda oldukça önem kazanan gezgin iletişim teknolojileri, gerçek zamanlı çalışan ve bu nedenle de üzerinde yürüdüğü sistemlerin performansından doğrudan

Detaylı

Dijital (Sayısal) Fotogrametri

Dijital (Sayısal) Fotogrametri Dijital (Sayısal) Fotogrametri Dijital fotogrametri, cisimlere ait iki boyutlu görüntü ortamından üç boyutlu bilgi sağlayan, sayısal resim veya görüntü ile çalışan fotogrametri bilimidir. Girdi olarak

Detaylı

VERĠ HABERLEġMESĠ OSI REFERANS MODELĠ

VERĠ HABERLEġMESĠ OSI REFERANS MODELĠ VERĠ HABERLEġMESĠ OSI REFERANS MODELĠ Bölüm-2 Resul DAġ rdas@firat.edu.tr VERİ HABERLEŞMESİ TEMELLERİ Veri İletişimi İletişimin Genel Modeli OSI Referans Modeli OSI Modeli ile TCP/IP Modelinin Karşılaştırılması

Detaylı