BBM 231 Zamanuyumlu dizisel devreler (synchronous sequential logic)" Hacettepe Üniversitesi Bilgisayar Müh. Bölümü

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "BBM 231 Zamanuyumlu dizisel devreler (synchronous sequential logic)" Hacettepe Üniversitesi Bilgisayar Müh. Bölümü"

Transkript

1 BBM 23 Zaanuyulu dizisel devreler (synchronous sequential logic)" Hacettepe Üniversitesi Bilgisayar Müh. Bölüü

2 Ders hakkında" Aykut Erde Oda: Tel: / 46 Ofis Saati: Carşaba 5:-6: Textbook: Mano and Ciletti, Digital Design Pearson, 4th Edition

3 İletişi" Ders hakkındaki duyurular ve esajlaşa için yı kullanacağız:

4 Bu derste" Dizisel devreler (sequential circuits) Mandallar (latches) İkidurulular (flip-flops) Dizisel devrelerin analizi Dizisel devrelerin tasarıı 4

5 Dizisel devreler (sequential circuits)" Birleşisel devreler (cobinational circuit), şidiki çıkışları yalnız şidiki girişlerine bağlı devrelerdir. Dizisel devreler (sequential circuits), şidiki çıkışları sadece şidiki girişlerine değil aynı zaanda önceki girişlere de bağlı olan devrelerdir. Bu tür devreler bellek öğeleri barındırırlar. Inputs Cobinational circuit Meory eleents Outputs 5

6 Bellek" Bir sayıyı bilgisayarda nasıl saklayabiliriz? En basit duru: bir bit lik bilginin saklanası A C bit lik bir belleğin bir kara kutu olarak gösterii Bu devre istendiği gibi çalışaz! Neden? - salınır (oscillates).. A Önceki C Sınraki C A: Kontrol girişi A= olduğu sürece C nin değeri aynı kalır Eğer A= ise, C nin değeri dan veya den a değişir 6 Source: Richard Feynan, Lectures on Coputa:on

7 Saatli dizisel devreler" Saatli dizisel devrelerin tasarıında AND, OR, NOT,.. gibi geçitlerin yanı sıra ikidurulular (flip-flops) diye adlandırılan bellek öğeleri de kullanılır. Bir ikidurulu tek bitlik bilgiyi saklayabilen bellek ögeleridir. Inputs Inputs Cobinational circuit Cobinational circuit Flip-flops Outputs Outputs Clock pulses Flip-flops Clock pulses (a) Block diagra (a) Block diagra (b) Tiing diagra of clock pulses 7

8 Mandallar (latches)" Mandallar (latches) (sinyal seviyesindeki değişi yerine) sinyal seviyesi ile tetiklenen ve saat kullanayan bellek öğeleridir. Mandallar ikiduruluların oluşturulasında kullanılan teel devrelerdir. SR türü andal (S: Set, R: Reset, Q: Çıktı) R (reset) R S (set) S (set) (a) (a) Logic Logic diagra Q Q Q Q S SR RQ QQ Q (after (after S S, R, R ) ) (after (after S S, R, R ) ) (forbidden) (b) (b) Function table table 8

9 Mandallar (latches)" NAND geçitler ile SR türü andal S (set) S (set) Q S RS QR Q Q Q Q (after (after S, S R, R ) (after (after S, S R, R ) Q Q (forbidden) (forbidden) R (reset) R (reset) (a) Logic (a) Logic diagra diagra (b) Function (b) Function table table SR türü andal olarak da gösterilektedir. 9

10 Mandallar (latches)" SR türü andalın işleyişi andalın duruunun ne zaan değişeceğini kontrol eden ek bir giriş sinyalı ile ayarlanabilir. S En R (a) Logic diagra (a) Logic diagra Q Q Q Q En S X X R Next state of Q En S R Next state o No change X X No change No change No change Q ; reset Q state ; rese Q ; set Q state ; set s Indeterinate Indeterina (b) Function (b) table Function table

11 Mandallar (latches)" D türü andal (şeffaf (transparent) andal) D D Q Q En En Q Q En DEn DNext Next state state of Qof Q X XNo change No change Q Q ; reset ; reset state state Q Q ; set ; state set state (a) Logic (a) Logic diagra diagra (b) Function (b) Function table table D türü andalda S and R girişlerini aynı anda hiçbir zaan değeri alaaaktadır. Çıkışı, Enable girişi olduğu sürece veri girişindeki değişileri yansıtaktadır.

12 Mandallar (latches)" Mandalların grafik gösterileri S S S S S S D D D R R R R R R En En En SR SR SR SR SR SR D D D 2

13 İkidurulular (flip-flops)" Bir andalın duruu En = olduğu zaan değişektedir. Bir andalın kontrol girişi (En) bir saat sinyali üreticisine bağlandığında, duru değişileri En = olur olaz başlar. Bir andalın duruu, saat vuruşu (clock pulse) aktif seviyede kaldığı sürede sürekli değişip durabilir. (a) Response to positive level İkidurulular (flip-flops), ortak bir saat barındıran dizisel devrelerin düzgün çalışabileler için tasarlanıştır. (b) Positive-edge response 3

14 İkidurulular (flip-flops)" (a) Response to positive level İkidurulular, andalların tersine ancak sinyal (a) Response to positive level seviyesindeki değişiler ile tetiklenebilirler. (b) Positive-edge response (b) Positive-edge response (c) Negative-edge response (c) Negative-edge response D türü ikiduruulu (iki D türü andal ile) D D En D latch (aster) Y D En D latch (slave) Q Figure Nuber: 5 8 a-c Mano/Ciletti AACFLQC 27 by Prentice Hall, Inc. 4

15 İkidurulular (flip-flops)" D türü pozitif kenarlar ile tetiklenen (positiveedge-triggered) ikidurulu (üç SR türü andal ile) S Q R Q D 5

16 İkidurulular (flip-flops)" D türü ikiduruluların grafik gösterileri D D D D (a) Positive-edge (a) Positive-edge (a) Negative-edge (a) Negative-edge 6

17 İkidurulular (flip-flops)" JK türü ikidurulu JJ D Q JJ K Q K (a) Circuit diagra D = JQ + K Q (b) Graphic sybol J =, K = => D =? J =, K = => D =? J = K = => D =? J = K = => D =? 7

18 İkidurulular (flip-flops)" T türü ikidurulu T J T J J T T T D D D T T T K K K Fro (a) JK Fro flip-flop (a) JK Fro flip-flop JK flip-flop (b) Fro (b) D Fro flip-flop (b) Fro D flip-flop D flip-flop (c) Graphic (c) Graphic sybol (c) Graphic sybol sy D = T Q = TQ + T Q T = => D =? T = => D =? 8

19 İkiduruluların sonraki duru çizelgeleri" İkiduruluların antıksal özellikleri, işleyişlerini belirten çizelgeler ile ifade edilebilir. Q(t): şidiki duru (saat kenarı uygulanası öncesindeki) Q(t +): sonraki duru (bir saat vuruşu sonrasındaki) 9

20 İkiduruluların sonraki duru çizelgeleri" Figure Figure Nuber: Nuber: Table5 Table5 Mano/Ciletti Mano/Ciletti Digital Digital Design, Figure Design, 4e Nuber: 4e Table5 Mano/Ciletti TB5 TB5 TB by Prentice by Prentice Hall, Hall, Inc. Inc. APearson APearson Copany Copany

21 İkiduruluların sonraki duru denkleleri" Sonraki duru çizelgeleri, ilgili denkleler ile de ifade edilebilir: D türü ikidurulu JK türü ikidurulu Q(t +) = D Q(t +) = JQ + K Q T türü ikidurulu Q(t +) = T Q 2

22 Clock Zaanuyusuz (asynchronous) girişlere sahip ikidurulular" Reset Düşük-düzey zaanuyusuz reset e sahip pozitif kenarlar ile tetiklenen D türü bir ikidurulu D R D (a) Circuit dia Data D Q Q Clock Reset S (a) Circuit diagra Clock Q Reset R (b) Graphic sybol Q D Reset Data Clock Reset D R R (b) Graphic sybol Q Q Q Figure Nuber: 5 4 a-c Mano/Ciletti Digital Design, 4e R D Q Q X X (b) Function table 22

23 Saatli dizisel devrelerin analizi" Saatli bir dizisel devrenin davranışı, giriş, çıkış ve sahip olduğu ikiduruluların durularından belirlenir. Duru denklei, S(t +) = f (S(t), x(t)) S(t+): sonraki duru S(t): şidiki duru x(t): şidiki girişler Şidiki çıktı, y(t) = g(s(t), x(t)) 23

24 Örnek: Saatli dizisel devrelerin analizi" x D A A D B A(t+) =A(t)x(t)+B(t)x(t) B(t+)=A (t)x(t) y(t)=(a(t)+b(t))x (t) B Clock y A(t+) =Ax+Bx B(t+)=A x y(t)=(a+b)x 24

25 Figure Nuber: Table5 2 Örnek: Saatli dizisel devrelerin analizi" A(t+) =Ax+Bx B(t+)=A x y(t)=(a+b)x 25

26 Örnek: Saatli dizisel devrelerin analizi" ikidurulu ve n girişe sahip dizisel bir devre 2 +n sıra barındıran bir çizelge ile ifade edilir. Topla 2 n sıralı başka bir çizelge de kullanılabilir. 26

27 Duru çizeneği (state diagra)" Bir duru çizelgesi grafiksel olarak bir duru çizeneği (state diagra) ile de gösterilebilir. / / / / / / / / 27 Figure Nuber: Table5 3

28 D türü ikidurulular ile analiz" Örnek: D A = A x y Present Present Next state state Inputs Inputs state Next state x y x y x y D D D Clock Clock (a) Circuit (a) Circuit diagra diagra Clock A A A A x y A Present A x y A Next state Inputs state A x y A (b) (b) State table State table (a) Circuit diagra (b) State table,,,,,,,,,,, (c) State (c) State diagra diagra 28

29 JK türü ikidurulular ile analiz". İkidurulu giriş denklelerini şidiki duru ve giriş değişkenleri cinsinden belirle. 2. (a) Karşılık gelen ikiduruluların sonraki duru çizelgesini kullanarak duru çizelgesi için sonraki duru değerlerini belirle, veya 2. (b) Giriş denklerini ikiduruluların sonraki duru denklelerine koy ve karşılık gelen duru denklelerini elde et. Daha sonra duru çizelgesinden sonraki duru değerlerini belirle. 29

30 JK türü ikidurulular ile analiz" J A x K J B K Clock J A = B K A = Bx J B = x K B = A x + Ax = A x 3

31 JK türü ikidurulular ile analiz" J A x K J B K A(t+) = JA + K A B(t+) = JB + K B Clock = BA + (Bx ) A = A B + AB + Ax = x B + (A x) B = B x + ABx + A Bx 3

32 JK türü ikidurulular ile analiz" Figure Nuber: Table5 4 Mano/Ciletti Digital Design, 4e TB by Prentice Hall, Inc. APearson Copany

33 JK türü ikidurulular ile analiz" Duru çizeneği (state diagra) S S3 S S2 33

34 T türü ikidurulular ile analiz" x T A y R Clock reset T R (a) Circuit diagra B Q(t+) = T Q = T Q + TQ TA = Bx TB = x y = AB / / / A(t+) = (Bx) A + (Bx)A / = AB + Ax + A Bx (b) State diagra 34 B(t+) = x B

35 T y T türü ikidurulular ile analiz" R T B / / R / / Clock reset (a) Circuit diagra (b) State diagra Figure Nuber: Table5 5 Mano/Ciletti Digital Design, 4e TB55 27 by Prentice Hall, Inc. APearson Copany 35

36 Mealy ve Moore odelleri" Dizisel devreler, sonlu özdevinir odelinin (finite state achine) iki alt türü ile ifade edilebilir: Mealy odelinde çıkış he şidiki duru he de girişin bir fonksiyonudur. Mealy Machine Moore odelinde çıkış sadece şidiki duruun bir fonksiyonudur. Mealy Machine Inputs Inputs Next State Cobinational Logic Next State Cobinational Logic Clock Clock (a) (a) Moore Machine State Register State Register Output Cobinational Logic Output Cobinational Logic Outputs (Mealy-type) Outputs (Mealy-type) Inputs Next State Cobinational Moore Machine State Register Logic Output Cobinational Logic Outputs (Moore-type) Inputs Next State Cobinational Logic Clock (b) State Register Output Cobinational Logic Outputs (Moore-type) 36

37 Mealy ve Moore odelleri" Moore odelinde çıkışlar bir saat yardııyla eşzaanlanırlar. Mealy odelinde çıkışlar eğer girişler saat döngüsü (clock cycle) boyunca değişebilir. Bir Meali odeli devreyi eşzaanlaak için girişlerin saat ile eşzaanlı olası ve çıkışların da daia bir saat kenarından heen önce örneklenesi gerekektedir. 37

38 Duru indirgeesi (state reduction)" Eğer iki duru her bir olası giriş için aynı çıkışı veriyor ve devreyi aynı veya eş bir durua sürüklüyor ise o iki duru eş (equivalent) durular olarak adlandırılır. Birbirine eş iki duru varsa, bu durulardan herhangi biri giriş-çıkış ilişkilerini değiştireden çıkartılabilir. Duru sayısının azaltılası niçin önelidir? Bir devrenin gerçekleştirilesi sırasında kullanılası gereken ikidurulu sayısında azaltaya gidilebilir (ancak bu duruda devrenin birleşisel (cobinatorial) kısı daha karaşık bir hal alabileceği göz önüne alınalıdır). 38

39 Örnek: Duru indirgeesi" Örnek: / a / / / / / hapter 5 Synchronous Sequ#ltld b bgk c There are an infinite nuber of input / sequences that ay be applied to the circuit; each results in a unique output sequence. As / an exaple, / consider the input Bequence g d e starting fro the initial state a. Each input of or produces an wcput of w and causes the circuit to go to the next state. /Fro / the state diagra, we d h de ~ wtgut and state sequence / for the given input sequence as follows: Wth the circuit in initial state a, an inpnt of W c e s an output of and the circuit reains f in state / a. With present state a aod an hpt of, the out- put ir and the next state is b. With present state b and an input of, the output is and the next state is c. Continuing this procese, we ftnd the coplete sequence to be as follows: state input output / AACFLQQ a b c d s f f Figure Nuber: 5 25 Mano/Ciletti Digital Design, 4e 27 by Prentice Hall, Inc. A Pearson Copany 39

40 Örnek: Örnek: Duru indirgeesi" e ve Figure g Nuber: Table5 duruları 6 birbirine TB56 eş! g duruunu çıkar. Mano/Ciletti Digital Design, 4e 27 by Prentice Hall, Inc. APearson Copany 4

41 Örnek: Duru indirgeesi" Yeni duru çizelgesi: Figure Nuber: Table5 7 d ve f duruları birbirine TB57 Mano/Ciletti eş! f duruunu çıkar. 27 by Prentice Hall, Inc. Digital Design, 4e APearson Copany 4

42 Örnek: Duru indirgeesi" İndirgeniş duru çizelgesi: Artık Figure Nuber: hiçbir Table5 8 duru birbirine TB58 eş değil! Dur.. Mano/Ciletti Digital Design, 4e 27 by Prentice Hall, Inc. APearson Copany 42

43 Örnek: Duru indirgeesi" / / a / b / / / c / / a / / g / / / / d / / f / e / e b / / / d / / c Figure Nuber: 5 25 / AACFLQQ 27 by Prentice Hall, Inc. Figure Nuber: 5 26 Mano/Ciletti Digital Design, 4e AACFLQR by Prentice Hall, Inc. A Pearson Copany

44 Duru ataası (state assignent)" Durulara birbirinden farklı ikili değerler ataası Topla durua sahip bir devre için kullanılacak kodlar n bit (2 n ) içerelidir. (2 n kullanılayan duru sayısıs) Çeşitli seçenekler: The resulting cobinatorial circuit will be different! 44

45 Örnek: Duru ataası " Bir önceki örnek için duru sayısı indirgeniş duru çizelgesi için ikili ataalar / / a / / e b / c / / / d / / Figure Nuber: 5 26 Mano/Ciletti Digital Design, 4e AACFLQR 27 by Prentice Hall, Inc. A Pearson Copany Figure Nuber: Table5 Mano/Ciletti Digital Design, 4e TB by Prentice Hall, Inc. APearson Copany

46 Dizisel devrelerin tasarıı" Verilen tanıdan duru çizeneğini ve duru çizgesini oluştur. Gerekiyorsa duru sayısını azalt. İkili kodlanış (binary coded) duru çizgesini elde etek için durulara ikili sayı değerleri ata. Kullanılacak olarak ikiduruluların türünü belirle. Basitleştiriliş ikidurulu giriş ve çıkış denklelerini elde et. Devre şeasını çiz. 46

47 Örnek: Sekans algılayıcı" Üç veya daha fazla ardışık gelen leri içeren bit dizgilerini algılayan bir devrenin tasarıı Devrenin duru çizeneği: S / S / S 3 / S 2 / 47

48 Figure Nuber: Table5 Örnek: Sekans algılayıcı" D türü ikidurulular kullanarak gerçekleştire Dört farklı duruu ifade etek için iki D türü ikidurulu S / S / S 3 / S 2 / Figure Nuber: 5 27 Mano/Ciletti Digital Design, 4e AACFLQS 27 by Prentice Hall, Inc. A Pearson Copany 48

49 Örnek: Sekans algılayıcı" Figure Nuber: Table5 Mano/Ciletti Digital Design, 4e A(t+) = D A (A, B, TB5x) = Σ(3,5,7) B(t+) = D B (A, B, x) = Σ(,5,7) y(a, B, x) = Σ(6,7) 27 by Prentice Hall, Inc. APearson Copany 49

50 Örnek: Sekans algılayıcı" Bx Bx B B A A Bx Bx B B A A Bx Bx A A A A A A A A 4 x x D A D A Ax Ax Bx Bx x x D B D B Ax Ax B x B x 3 2 B Bx A B A x D B Ax B x x y AB 5

51 Örnek: Sekans algılayıcı" D A x D B B Clock y 5

52 Uyara gereksinii çizelgesi (excitation tables)" Devre tasarıında D türü ikidurulular kullanıldığında, giriş denkleleri doğrudan sonraki durulardan hesaplanabilir. Ancak JK ve T türü ikidurulular kullanıldığında bu kolay yol izleneez. Bu aaçla duru çizelgesi ve giriş denkleleri arasındaki fonksiyonel ilişkiyi gösteren ve uyara gereksinii çizelgesi (excitation tables) olarak adlandırılan bir çizelge kullanılalıdır. 52

53 Uyara gereksinii çizelgesi (excitation tables)" JK ve T tipi ikidurulular için uyara gereksinii çizelgeleri 53

54 JK türü ikidurulular ile gerçekleştire" İkidurulu girişleri, duru çizelgesi ve JK tipi ikidurulunun uyara gereksinii çizelgesinden bulunur. Figure Nuber: Table5 2 Mano/Ciletti Digital Design, 4e TB52 54

55 JK türü ikidurulular ile A Bx Bx A A Bx Bx gerçekleştire" B B B B B Bx B A Bx A A Bx Bx X X X X X X X X X X X X A A A X X X X X X X X X X X X A A A B B Bx B A Bx A A Bx Bx x x x J A J A Bx Bx J A Bx Bx X X X X X X B B Bx B A Bx A A Bx Bx x x x K A K A Bx Bx K A Bx Bx X X X X X X A A A X X X X X X A A A X X X X X X x x J B J B x x J B x x x x K B K B (A K B (A (A x) x) (A x) x) 55

56 JK türü ikidurulular ile x gerçekleştire" J K A A J B K B Clock 56

57 T türü ikidurulular ile gerçekleştire" 3 bit lik ikili sayaç Duru çizeneği: Figure Nuber: 5 32 Mano/Ciletti Digital Design, 4e AACFLQX 27 by Prentice Hall, Inc. A Pearson Copany 57

58 T türü ikidurulular ile gerçekleştire" dan a olan değişii incele 58

59 T türü ikidurulular ile gerçekleştire" A A A A A A A A A A A A A 2 A 2 A 2 2 A A 2 AA A 2 AA 2 2 A 2 A A A A A A A AA T A2 T A A A A T A A2 T A A A T A A A x x A A 2 A A T T T Clock 59

Ders hakkında" İletişim" Bu derste" Bellek" 12/3/12. BBM 231 Zamanuyumlu dizisel devreler (synchronous sequential logic)"

Ders hakkında İletişim Bu derste Bellek 12/3/12. BBM 231 Zamanuyumlu dizisel devreler (synchronous sequential logic) 2/3/2 ers hakkında" ykut Erdem aykut@cs.hacettepe.edu.tr Oda: el: 297 75 / 46 Ofis Saati: Carşamba 5:-6: M 23 Zamanuyumlu dizisel devreler (synchronous sequential logic)" etbook: Mano and Ciletti, igital

Detaylı

Geçtiğimiz hafta# Dizisel devrelerin tasarımı# Bu hafta# Örnek: Sekans algılayıcı# Örnek: Sekans algılayıcı# 12/11/12

Geçtiğimiz hafta# Dizisel devrelerin tasarımı# Bu hafta# Örnek: Sekans algılayıcı# Örnek: Sekans algılayıcı# 12/11/12 2//2 Geçtiğimiz hafta# İL 2 Dizisel Devrelerin Tasarımı ve Yazmaçlar ve Sayaçlar (Registers and Counters)# Dizisel devreler (sequential circuits) Mandallar (latches) İkidurumlular (flip-flops) Dizisel

Detaylı

Y.Doç.Dr.Tuncay UZUN 6. Ardışıl Lojik Devreler 2. Kombinezonsal devre. Bellek. Bellek nedir? Bir bellek şu üç önemli özelliği sağlamalıdır:

Y.Doç.Dr.Tuncay UZUN 6. Ardışıl Lojik Devreler 2. Kombinezonsal devre. Bellek. Bellek nedir? Bir bellek şu üç önemli özelliği sağlamalıdır: 6.ARDIŞIL LOJĐK DEVRELER 6.1.Ardışıl Lojik Devre Temelleri SR Tutucu Flip-Flop(FF) Saat, Kenar tetikleme D FF, JK FF, T FF 6.2.Ardışıl Devrelerin Analizi Moore modeli: Çıkışlar= f(şimdiki durum) Mealy

Detaylı

BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü

BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü Bu derste! Büyük, karmaşık sayısal sistemlerin tasarımı ele alınacaktır. ASM ve ASMD çizgeleri Tasarım Örnekleri

Detaylı

Bir devrede bellek elemanı olarak kullanılmak üzere tutucuları inceledik.

Bir devrede bellek elemanı olarak kullanılmak üzere tutucuları inceledik. Flip-Flop Bir devrede bellek elemanı olarak kullanılmak üzere tutucuları inceledik. Tutucular bazı problemlere sahiptir: Tutucuyu ne zaman enable yapacağımızı bilmeliyiz. Tutucuyu çabucak devredışı bırakabilmeliyiz

Detaylı

Bu derste! BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Yazmaç Aktarımı Düzeyi! Büyük Sayısal Sistemler! 12/25/12

Bu derste! BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Yazmaç Aktarımı Düzeyi! Büyük Sayısal Sistemler! 12/25/12 BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü Bu derste! Büyük, karmaşık sayısal sistemlerin tasarımı ele alınacaktır. ASM ve ASMD çizgeleri Tasarım Örnekleri

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 9. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar FLIP FLOPS S-R: Set-Reset Latch (Tutucu) Tetiklemeli D Latch (Tutucu) Kenar Tetiklemeli D Flip-Flop S-R

Detaylı

Bir devrede bellek elemanı olarak kullanılmak üzere latch leri inceledik.

Bir devrede bellek elemanı olarak kullanılmak üzere latch leri inceledik. Flip-Flop lar Bir devrede bellek elemanı olarak kullanılmak üzere latch leri inceledik. Latch ler bazı problemlere sahiptir: Latch i ne zaman enable yapacağımızı bilmeliyiz. Latch i çabucak devredışı bırakabilmeliyiz

Detaylı

Ardışıl Devre Sentezi (Sequential Circuit Design)

Ardışıl Devre Sentezi (Sequential Circuit Design) Ardışıl Devre Sentezi (Sequential Circuit Design) Ardışıl devre tasarımı prosedürü: Adım 1: Problemin tanımına uygun olarak durum tablosunu yapılır. Tablo şimdiki durumları, girişleri, gelecek durumları

Detaylı

(I) şimdiki. durum (S) belleği. saat. girşi

(I) şimdiki. durum (S) belleği. saat. girşi ers Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/ Eşzamanlı (Senkron) Ardışıl evreler (Synchronous Sequential Circuits) Ardışıl (sequential)

Detaylı

18. FLİP FLOP LAR (FLIP FLOPS)

18. FLİP FLOP LAR (FLIP FLOPS) 18. FLİP FLOP LAR (FLIP FLOPS) Flip Flop lar iki kararlı elektriksel duruma sahip olan elektronik devrelerdir. Devrenin girişlerine uygulanan işarete göre çıkış bir kararlı durumdan diğer (ikinci) kararlı

Detaylı

Bölüm 4 Ardışıl Lojik Devre Deneyleri

Bölüm 4 Ardışıl Lojik Devre Deneyleri Bölüm 4 Ardışıl Lojik Devre Deneyleri DENEY 4-1 Flip-Floplar DENEYİN AMACI 1. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 6 Tutucular, Flip-Floplar ve Zamanlayıcılar Tutucular (Latches) Tutucu iki kararlı (bistable state) durumu olan en temel sayısal depolama

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 8. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar MULTIPLEXERS (VERİ SEÇİCİLER), ÜÇ DURUMLU BUFFERS, DECODERS (KOD ÇÖZÜCÜLER) BELLEK ELEMANLARI 2 8.2.

Detaylı

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir.

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir. Bilgisayar Mimarisi İkilik Kodlama ve Mantık Devreleri Yrd.Doç.Dr. Celal Murat KANDEMİR ESOGÜ Eğitim Fakültesi - BÖTE twitter.com/cmkandemir Kodlama Kodlama (Coding) : Bir nesneler kümesinin bir dizgi

Detaylı

YÜKSEK HIZLI DARBE GENLİK MODÜLASYON ÇIKIŞI

YÜKSEK HIZLI DARBE GENLİK MODÜLASYON ÇIKIŞI YÜKSEK HIZLI DARBE GENLİK MODÜLASYON ÇIKIŞI PW: PWM çıkışı ( 0 = Y0 1 = Y2 2 = Y4 3 = Y6 ) OP: çıkış polaritesi ; 0 = Noral 1 = Ters RS: Çözünürlük; 0 = 1/100 (1%) 1 = 1/1000 (0.1%) Pn: Çıkış frekansının

Detaylı

Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi

Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi Bu derste... BİL 201 Birleşimsel Mantık (Combinational Logic) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi Birleşimsel Devreler - Çözümlenmesi - Tasarımı Birleşimsel Devre Örnekleri - Yarım Toplayıcı

Detaylı

Digital Design HDL. Dr. Cahit Karakuş, February-2018

Digital Design HDL. Dr. Cahit Karakuş, February-2018 Digital Design HDL Dr. Cahit Karakuş, February-2018 NOT, AND, and OR Gates NAND and NOR Gates DeMorgan s Theorem Exclusive-OR (XOR) Gate Multiple-input Gates Basic Logic Gates and Basic Digital Design

Detaylı

Logical signals. Active high or asserted logic. Logic threshold, yaklasik 1.4 volts. Read H&P sections B.3, B.4, B.5 Read H&P sections 5.1 and 5.

Logical signals. Active high or asserted logic. Logic threshold, yaklasik 1.4 volts. Read H&P sections B.3, B.4, B.5 Read H&P sections 5.1 and 5. Logical signals Read H&P sections B3, B4, B5 Read H&P sections 5 and 52 5 Voltage Logic threshold, yaklasik 4 volts Time (nsec) 2/6/24 Bilgisayar imarisi 2 2/6/24 Bilgisayar imarisi 22 Active high or asserted

Detaylı

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY RAPORU. Deney No: 3 FF Devreleri

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY RAPORU. Deney No: 3 FF Devreleri TEKNOLOJİ FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY RAPORU Deney No: 3 FF Devreleri Yrd. Doç Dr. Ünal KURT Yrd. Doç. Dr. Hatice VURAL Arş. Gör. Ayşe AYDIN YURDUSEV

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 12. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar REGİSTERS (KAYDEDİCİLER) Akümülatör (Accumulator-ACC) lü Paralel Toplayıcı Shift Register (Kayma Registeri)

Detaylı

DERS NOTLARI. Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi

DERS NOTLARI. Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi DERS NOTLARI Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi DERS-8 11.05.2016 MULTİVİBRATÖR VE FLİP FLOPLAR Giriş Kare veya dikdörtgen sinyal üreten elektronik devreler Multivibratör olarak

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 1 Sayıcılar İkili (Binary) Sayma İkili (Binary) sayma 1 ve 0 ların belirli bir düzen içerisinde sıralanması ile yapılır. Her dört sayıda

Detaylı

FORMEL DİLLER VE SOYUT MAKİNALAR. Hafta 2

FORMEL DİLLER VE SOYUT MAKİNALAR. Hafta 2 FORMEL DİLLER VE SOYUT MAKİNALAR Hafta 2 OTOMATA TEORİSİ Otomata teorisi (özdevinim kuramı ya da otomat teorisi), teorik bilgisayar biliminde soyut makineleri (ya da daha uygun bir deyimle soyut 'matematiksel'

Detaylı

Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/

Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/ Eşzamanlı (Senkron) Ardışıl Devrelerin Tasarlanması (Design) Bir ardışıl devrenin tasarlanması, çözülecek olan problemin sözle anlatımıyla (senaryo) başlar. Bundan sonra aşağıda açıklanan aşamalardan geçilerek

Detaylı

ARDIŞIL DEVRELER. Çıkışlar. Kombinezonsal devre. Girişler. Bellek

ARDIŞIL DEVRELER. Çıkışlar. Kombinezonsal devre. Girişler. Bellek ARDIŞIL DEVRELER Ardışıl Devreler konusunda Temel bellek elemanları Tutucu (Latch) Flip-flop Ardışıl devrelerin analizi Ardışıl devrelerin sentezi Saklayıcı (Register) ve Sayıcı (Counter) gibi çok kullanılan

Detaylı

BİL 201 Birleşimsel Mantık (Combinational Logic) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi

BİL 201 Birleşimsel Mantık (Combinational Logic) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi BİL 201 Birleşimsel Mantık (Combinational Logic) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi Birleşimsel Devreler - Çözümlenmesi - Tasarımı Bu derste... Birleşimsel Devre Örnekleri - Yarım Toplayıcı

Detaylı

Fatih Üniversitesi Elektrik ve Elektronik Mühendisliği Bölümü EEM 316 Haberleşme I DENEY 4 GENLİK (AM) DEMODÜLASYONU

Fatih Üniversitesi Elektrik ve Elektronik Mühendisliği Bölümü EEM 316 Haberleşme I DENEY 4 GENLİK (AM) DEMODÜLASYONU Fatih Üniversitesi Elektrik ve Elektronik Mühendisliği Bölüü EEM 316 Haberleşe I DENEY 4 GENLİK (AM) DEMODÜLASYONU 4.1 Aaçlar 1. Genlik odülasyonunun genel prensiplerinin anlaşılası.. Diyot Algılayıı ile

Detaylı

Fatih University- Faculty of Engineering- Electric and Electronic Dept.

Fatih University- Faculty of Engineering- Electric and Electronic Dept. Dijital Devre Tasarımı EEE122 A Ref. Morris MANO & Michael D. CILETTI DIGITAL DESIGN 4 th edition Fatih University- Faculty of Engineering- Electric and Electronic Dept. Chapter 3 Boole Fonksiyon Sadeleştirmesi

Detaylı

Deney 2: Flip-Floplar

Deney 2: Flip-Floplar Deney 2: Flip-Floplar Bu deneyde, çeşitli flip-flop devreleri kurulacak ve incelenecektir. Kullanılan Elemanlar 1 x 74HC00 (NAND kapısı) 1 x 74HC73 (JK flip-flop) 1 x 74HC74 (D flip-flop) 4 x 4,7 kohm

Detaylı

BÖLÜM 8 MANDAL(LATCH) VE FLİP-FLOPLAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır

BÖLÜM 8 MANDAL(LATCH) VE FLİP-FLOPLAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır AYIAL ELETONİ BÖLÜM 8 MANAL(LATCH) VE FLİP-FLOPLA Bu bölümde aşağıdaki konular anlatılacaktır Mandallar(Latches),- Mandalı, Mandalı ontak sıçramasının mandallar yardımı ile engellenmesi Flip-Floplar,-

Detaylı

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz.

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz. Girilen iki sayının birbiriyle karşılaştırılıp sonucunda büyük, küçük veya eşit sinyallerinin verileceği bir programı VHDL dili ile yazınız. A : karşılaştırılacak 1.sayıdır. 8 bitlik giriştir. B : karşılaştırılacak

Detaylı

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur.

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur. HDL Dilleri HDL(Donanım Tanımlama Dili); tasarımın, HDL dillerinden her hangi bir tanesinin kullanılarak yapılmasıdır. HDL bir donanım parçasını modellemek için kullanılan yazılım dilidir. VHDL ile Verilog

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #5 16 Bitlik R Tipi İçin ALTERA MAX-PLUS-II VHDL de Tek Saat Veri Yolu Birimi 1.Giriş Bu deneyde

Detaylı

BM312 Ders Notları - 3 2014

BM312 Ders Notları - 3 2014 DETERMİNİSTİK SONLU OTOMATLAR (DETERMINISTIC FINITE AUTOMATA) Bir Sonlu Otomat (FA) sabit ve sonlu kapasitede bir merkezi işlem ünitesine sahiptir. Giriş bilgisini input tape üzerinden string olarak alır.

Detaylı

DENEY 5 RS FLİP-FLOP DENEYLERİ

DENEY 5 RS FLİP-FLOP DENEYLERİ Adı Soyadı: No: Grup: DENEY 5 RS FLİP-FLOP DENEYLERİ ÖN BİLGİ : Sayısal bilgiyi ( "0" veya "1" ) depolamada ve işlemede kullanılan temel devrelerden biri de F-F lardır. Genel olarak dört tipi vardır: 1-

Detaylı

SELÇUK ÜNĠVERSĠTESĠ MÜHENDĠSLĠK-MĠMARLIK FAKÜLTESĠ ELEKTRĠK-ELEKTRONĠK MÜHENDĠSLĠĞĠ BÖLÜMÜ LOJĠK DEVRE TASARIM DERS NOTLARI

SELÇUK ÜNĠVERSĠTESĠ MÜHENDĠSLĠK-MĠMARLIK FAKÜLTESĠ ELEKTRĠK-ELEKTRONĠK MÜHENDĠSLĠĞĠ BÖLÜMÜ LOJĠK DEVRE TASARIM DERS NOTLARI SELÇUK ÜNĠVERSĠTESĠ MÜHENDĠSLĠK-MĠMARLIK FAKÜLTESĠ ELEKTRĠK-ELEKTRONĠK MÜHENDĠSLĠĞĠ BÖLÜMÜ LOJĠK DEVRE TASARIM DERS NOTLARI Konya- 2012 i KONULAR 1. Ardışıl lojik devreler, senkron ardışıl lojik devreler

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ 1 7. HAFTA Flip-Floplar RS Flip Flop, Tetiklemeli RS Flip Flop, JK Flip Flop, D Tipi Flip Flop, T Tipi Flip Flop Tetikleme

Detaylı

BM312 Ders Notları 2014

BM312 Ders Notları 2014 Kümeler ve Bağıntılar Bir küme nesnelerden oluşur L = {a, b, c, d} a, b, c, d kümenin elemanları veya üyeleridir c L, k L şeklinde ifade edilir. Elemanların sırası ve tekrarı önemli değildir {üzüm, kiraz,

Detaylı

ÖDEV (Vize Dönemi) CEVAPLAR. 1. Ekrana Merhaba Dünya! yazdıran algoritmanın akış diyagramını çiziniz ve sözde kod olarak yazınız.

ÖDEV (Vize Dönemi) CEVAPLAR. 1. Ekrana Merhaba Dünya! yazdıran algoritmanın akış diyagramını çiziniz ve sözde kod olarak yazınız. ÖDEV (Vize Dönemi) CEVAPLAR 1. Ekrana Merhaba Dünya! yazdıran algoritmanın akış diyagramını çiziniz ve sözde kod olarak yazınız. PROGRAM Soru1 PRINT Merhaba Dünya! ; 2. Klavyeden girilen negatif bir sayıyı

Detaylı

TURGUT ÖZAL ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ MANTIK DEVRELERİ LABORATUARI. Deney 5 Flip Flop Devreleri

TURGUT ÖZAL ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ MANTIK DEVRELERİ LABORATUARI. Deney 5 Flip Flop Devreleri TURGUT ÖZAL ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ MANTIK DEVRELERİ LABORATUARI Deney 5 Flip Flop Devreleri Öğrenci Adı & Soyadı: Numarası: 1. Flip Flop Devresi ve VEYADEĞİL

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 2 Kayar Yazaçlar 23.02.2015 Sayısal Tasarım 3 Kayar Yazacın Çalışma Şekilleri Kayar yazaç flip-flopların veri saklamak ve veri taşımak

Detaylı

ARDIŞIL DEVRELER SENKRON ARDIŞIL DEVRELER

ARDIŞIL DEVRELER SENKRON ARDIŞIL DEVRELER ARDIŞIL DEVRELER TANIM: ÇIKIŞLARIN BELİRLİ BİR ANDAKİ DEĞERİ, GİRİŞLERİN YANLIZA O ANKİ DEĞERİNE BAĞLI OLAN DEVRELER KOMBİNASYONEL DEVRELER OLARAK İSİMLENDİRİLİR. ÇIKIŞLARIN BELİRLİ BİR ANDAKİ DEĞERİ,

Detaylı

EEM122SAYISAL MANTIK SAYICILAR. Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol

EEM122SAYISAL MANTIK SAYICILAR. Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol EEM122SAYISAL MANTIK BÖLÜM 6: KAYDEDİCİLER VE SAYICILAR Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol KAYDEDİCİLER VE SAYICILAR Flip-flopkullanan devreler fonksiyonlarına göre iki guruba

Detaylı

Deney 8: ALU da Aritmetik Fonksiyonlar

Deney 8: ALU da Aritmetik Fonksiyonlar Deney 8: ALU da Aritmetik Fonksiyonlar ALU da Aritmetik Fonksiyonlar Kullanılan Elemanlar 1x74LS181 ALU Entegresi, 4 x switch, 4 x 4.7 kohm 4 x 330 ohm, 4 x Led Giriş (Deney-7) Tipik olarak, bir ALU, birkaç

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 1 Sayısal Kavramlar Analog ve Sayısal Sistemler 3 Gününüzde bir çok elektronik sistem sayısal ve analog devrelerin birleşiminden oluşur.

Detaylı

Bölüm 7 Ardışıl Lojik Devreler

Bölüm 7 Ardışıl Lojik Devreler Bölüm 7 Ardışıl Lojik Devreler DENEY 7- Flip-Floplar DENEYİN AMACI. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop türlerinin

Detaylı

TEKNOLOJİ FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI

TEKNOLOJİ FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI TEKNOLOJİ FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI DENEY FÖYÜ 1 EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI DENEY NO : 1 DENEYİN ADI : OSİLATÖR DEVRESİ Giriş

Detaylı

NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ

NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ DENEY 1 Elektronik devrelerde sık sık karşımıza çıkan

Detaylı

ARDIŞIL DEVRELER (Sequential Circuits)

ARDIŞIL DEVRELER (Sequential Circuits) ayısal evreler (Lojik evreleri) AIŞIL EVELE (equential ircuits) ersin ilk bölümünde kombinezonsal (combinational) devreleri inceledik. Bu tür devrelerde çıkışın değeri o andaki girişlerin değerlerine bağlıdır.

Detaylı

Deney 6: Ring (Halka) ve Johnson Sayıcılar

Deney 6: Ring (Halka) ve Johnson Sayıcılar Deney 6: Ring (Halka) ve Johnson Sayıcılar Kullanılan Elemanlar xlm Entegresi, x0 kohm direnç, x00 kohm direnç, x0 µf elektrolitik kondansatör, x00 nf kondansatör, x 7HC7 (D flip-flop), x 0 ohm, x Led

Detaylı

Deney 5: Shift Register(Kaydırmalı Kaydedici)

Deney 5: Shift Register(Kaydırmalı Kaydedici) Deney 5: Shift Register(Kaydırmalı Kaydedici) Kullanılan Elemanlar 1xLM555 Entegresi, 1x10 kohm direnç, 1x100 kohm direnç, 1x10 µf elektrolitik kondansatör, 1x100 nf kondansatör, 2 x 74HC74 (D flip-flop),

Detaylı

Sayısal Sistemler (MECE 305) Ders Detayları

Sayısal Sistemler (MECE 305) Ders Detayları Sayısal Sistemler (MECE 305) Ders Detayları Ders Adı Ders Kodu Dönemi Ders Saati Uygulama Saati Laboratuar Saati Kredi AKTS Sayısal Sistemler MECE 305 Güz 2 0 2 3 5 Ön Koşul Ders(ler)i Dersin Dili Dersin

Detaylı

Sayıcılar n bitlik bir bilgiyi tutmanın yanısıra her saat çevriminde tuttukları değeri artıran veya azaltan ardışıl devrelerdir.

Sayıcılar n bitlik bir bilgiyi tutmanın yanısıra her saat çevriminde tuttukları değeri artıran veya azaltan ardışıl devrelerdir. Sayıcılar (Counters) Sayıcılar n bitlik bir bilgiyi tutmanın yanısıra her saat çevriminde tuttukları değeri artıran veya azaltan ardışıl devrelerdir. Genel olarak iki gruba ayrılır: Senkron sayıcılar Asenkron

Detaylı

Sayısal Devreler ve Sistemler (EE203) Ders Detayları

Sayısal Devreler ve Sistemler (EE203) Ders Detayları Sayısal Devreler ve Sistemler (EE203) Ders Detayları Ders Adı Ders Kodu Dönemi Ders Saati Uygulama Saati Laboratuar Saati Kredi AKTS Sayısal Devreler ve Sistemler EE203 Güz 3 0 2 4 6 Ön Koşul Ders(ler)i

Detaylı

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü MANTIK DEVRELERİ TASARIMI LABORATUVARI DENEY FÖYLERİ 2018 Deney 1: MANTIK KAPILARI VE

Detaylı

Fatih Üniversitesi Elektrik ve Elektronik Mühendisliği Bölümü EEM 316 Haberleşme I DENEY 3 GENLİK (AM) MODÜLASYONU

Fatih Üniversitesi Elektrik ve Elektronik Mühendisliği Bölümü EEM 316 Haberleşme I DENEY 3 GENLİK (AM) MODÜLASYONU Fatih Üniversitesi Elektrik ve Elektronik Mühendisliği Bölüü EEM 316 Haberleşe I DENEY 3 GENLİK (AM) MODÜLASYONU 3.1 Aaçlar 1. Genlik (AM) odülasyon prensiplerinin anlaşılası 2. Genlik (AM) sinyalinin

Detaylı

R-2R LADDER SWITCHES 8-BIT DAC SUCCESSIVE APPROXIMATION REGISTER 3-STATE BUFFERS

R-2R LADDER SWITCHES 8-BIT DAC SUCCESSIVE APPROXIMATION REGISTER 3-STATE BUFFERS MİKROİŞLEMCİ UYUMLU A/D VE D/A ÇEVİRİCİLER A/D ve D/A çeviricilerin pratikte sıkça kullanılan türlerinden biri de mikroişlemci uyumlu olanlarıdır. Şekil.'de ZN8 D/A çeviricinin çalışma prensip şeması verilmiştir.

Detaylı

MANTIK DEVRELERİ HALL, 2002) (SAYISAL TASARIM, ÇEVİRİ, LITERATUR YAYINCILIK) DIGITAL DESIGN PRICIPLES & PRACTICES (3. EDITION, PRENTICE HALL, 2001)

MANTIK DEVRELERİ HALL, 2002) (SAYISAL TASARIM, ÇEVİRİ, LITERATUR YAYINCILIK) DIGITAL DESIGN PRICIPLES & PRACTICES (3. EDITION, PRENTICE HALL, 2001) MANTIK DEVRELERİ DERSİN AMACI: SAYISAL LOJİK DEVRELERE İLİŞKİN KAPSAMLI BİLGİ SUNMAK. DERSİ ALAN ÖĞRENCİLER KOMBİNASYONEL DEVRE, ARDIŞIL DEVRE VE ALGORİTMİK DURUM MAKİNALARI TASARLAYACAK VE ÇÖZÜMLEMESİNİ

Detaylı

Bölüm 4 Ardışıl Lojik Devre Deneyleri

Bölüm 4 Ardışıl Lojik Devre Deneyleri Bölüm 4 Ardışıl Lojik Devre Deneyleri DENEY 4-1 Flip-Floplar DENEYİN AMACI 1. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop

Detaylı

Bölüm Bazı Temel Konseptler

Bölüm Bazı Temel Konseptler Bölüm 7 Bu ve bundan sonraki bölümde, makine komutlarını işleten ve diğer birimlerin faaliyetlerini düzenleyen işlem birimi üzerine yoğunlaşacağız. Bu birim genellikle Komut Seti Mimarisi (Instruction

Detaylı

HACETTEPE ÜNİVERSİTESİ BAHAR DÖNEMİ

HACETTEPE ÜNİVERSİTESİ BAHAR DÖNEMİ Öğrenci Adı Soyadı: Öğrenci Numarası: S1 S2 S3 S4 S5 S6 S7 Toplam HACETTEPE ÜNİVERSİTESİ 2012-2013 BAHAR DÖNEMİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BBM202 Algoritmalar 1. Ara Sınav 25.04.2013 Sınav Süresi:

Detaylı

OTOMATİK KONTROL SİSTEMLERİ BLOK DİYAGRAM İNDİRGEME KURALLARI

OTOMATİK KONTROL SİSTEMLERİ BLOK DİYAGRAM İNDİRGEME KURALLARI OTOMATİK KONTROL SİSTEMLERİ BLOK DİYAGRAM İNDİRGEME KURALLARI BLOK DİYAGRAM İNDİRGEME KURALLARI Örnek 9: Aşağıdaki açık çevrim blok diyagramının transfer fonksiyonunu bulunuz? 2 BLOK DİYAGRAM İNDİRGEME

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SYISL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı ÖLÜM 3 Mantık Geçitleri Değil (Inverter) Geçidi İnverter geçidi oolean NOT işlemini yapar. Giriş YÜKSEK olduğunda çıkışını DÜŞÜK, giriş DÜŞÜK

Detaylı

x86 Ailesi Mikroişlemciler ve Mikrobilgisayarlar

x86 Ailesi Mikroişlemciler ve Mikrobilgisayarlar x86 Ailesi 1 8085A,8088 ve 8086 2 Temel Mikroişlemci Özellikleri Mikroişlemcinin bir defade işleyebileceği kelime uzunluğu Mikroişlemcinin tek bir komutu işleme hızı Mikroişlemcinin doğrudan adresleyebileceği

Detaylı

BİL 201 Geçit düzeyinde yalınlaştırma (Gate-Level Minimization) Hacettepe Üniversitesi Bilgisayar Müh. Bölümü

BİL 201 Geçit düzeyinde yalınlaştırma (Gate-Level Minimization) Hacettepe Üniversitesi Bilgisayar Müh. Bölümü BİL 2 Geçit düzeyinde yalınlaştırma (Gate-Level Minimization) Hacettepe Üniversitesi Bilgisayar Müh. Bölümü Boole Cebiri ve Temel Geçitler Boole cebiri (Boolean algebra ) Boole işlevleri (Boolean functions)

Detaylı

Fatih University- Faculty of Engineering- Electric and Electronic Dept.

Fatih University- Faculty of Engineering- Electric and Electronic Dept. Dijital Devre Tasarımı EEE122 A Ref. Morris MANO & Michael D. CILETTI DIGITAL DESIGN 4 th edition Fatih University- Faculty of Engineering- Electric and Electronic Dept. 2. BÖLÜM Boole Cebri ve Mantık

Detaylı

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş C ile 8051 Mikrodenetleyici Uygulamaları BÖLÜM 2 8051 Mikrodenetleyicisine Giriş Amaçlar 8051 mikrodenetleyicisinin tarihi gelişimini açıklamak 8051 mikrodenetleyicisinin mimari yapısını kavramak 8051

Detaylı

Bölüm 4 Yoğunluk Dönüşümleri ve Histogram İşleme

Bölüm 4 Yoğunluk Dönüşümleri ve Histogram İşleme BLM429 Görüntü İşlemeye Giriş Bölüm 4 Yoğunluk Dönüşümleri ve Histogram İşleme Dr. Öğr. Üyesi Caner ÖZCAN It makes all the difference whether one sees darkness through the light or brightness through the

Detaylı

Mikroişlemcili Sistemler ve Laboratuvarı

Mikroişlemcili Sistemler ve Laboratuvarı SAKARYA ÜNİVERSİTESİ Bilgisayar ve Bilişim Bilimleri Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemcili Sistemler ve Laboratuvarı Hafta04 : 8255 ve Bellek Organizasyonu Doç.Dr. Ahmet Turan ÖZCERİT

Detaylı

Saklayıcı (veya Yazmaç) (Register)

Saklayıcı (veya Yazmaç) (Register) Saklayıcı (veya Yazmaç) (Register) Genel bir ardışıl devre: Saklayıcılar Ardışıl devre analiz ve sentezi için iyi bir örnektir. Ayrıca daha büyük çaplı ardışıl devrelerin tasarımında kullanılabilirler.

Detaylı

Bölüm 1 Ürüne Genel Bakış

Bölüm 1 Ürüne Genel Bakış Bölüm 1 Ürüne Genel Bakış 1.1 Ürün Etiketi Şekil 1-1 Etiket Model Instruction Model numarası bazı rakam ve harfler içerir. Bu işaretler cihazın gücünü, güç seviyesini ve bazı diğer özel bilgileri içerir.

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SYISL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı ÖLÜM ileşimsel Mantık Devreleri Yarım Toplayıcı İkili toplama işleini yapan devreye yarım toplayıcı adı verilir. Yarım toplayıcı girişlerine

Detaylı

BÖLÜM 10 KAYDEDİCİLER (REGİSTERS) SAYISAL TASARIM. Bu bölümde aşağıdaki konular anlatılacaktır

BÖLÜM 10 KAYDEDİCİLER (REGİSTERS) SAYISAL TASARIM. Bu bölümde aşağıdaki konular anlatılacaktır erin BÖLÜM 10 KYEİCİLER (REGİSTERS) Bu bölümde aşağıdaki konular anlatılacaktır Kaydedicilerin(Registers) bilgi giriş çıkışına göre ve kaydırma yönüne göre sınıflandırılması. Sağa kaydırmalı kaydedici(right

Detaylı

EasyPic 6 Deney Seti Tanıtımı

EasyPic 6 Deney Seti Tanıtımı EasyPic 6 Deney Seti Tanıtımı Power supply voltage regulator J6 ile power supply seçimi yapılır. USB seçilirse USB kablosu üzerinden +5V gönderilir, EXT seçilirse DC connector üzerinden harici bir power

Detaylı

Ek 20: Digital Design Bologna

Ek 20: Digital Design Bologna DERS ÖĞRETİM PLANI Dersin Adı Sayısal Tasarım Dersin Kodu EE 03 Dersin Türü (Zorunlu, Seçmeli) Zorunlu Dersin Seviyesi (Ön Lisans, Lisans, Y.Lisans, Doktora) Lisans Dersin AKTS Kredisi 5 Haftalık Ders

Detaylı

Mikrobilgisayar Mimarisi ve Programlama

Mikrobilgisayar Mimarisi ve Programlama Mikrobilgisayar Mimarisi ve Programlama 2. Hafta Bellek Birimleri ve Programlamaya Giriş Doç. Dr. Akif KUTLU Ders web sitesi: http://www.8051turk.com/ http://microlab.sdu.edu.tr Bellekler Bellekler 0 veya

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 5 ADC, Analog Sayısal Dönüştürücüler Analog İşaretler Elektronik devrelerin giriş işaretlerinin büyük çoğunluğu analogtur. Günlük yaşantımızda

Detaylı

Yrd.Doç.Dr. Celal Murat KANDEMİR

Yrd.Doç.Dr. Celal Murat KANDEMİR Bilgisayar Mimarisi Ara Bağlantı Yapıları ve Bus Kavramı Yrd.Doç.Dr. Celal Murat KANDEMİR ESOGÜ Eğitim Fakültesi - BÖTE twitter.com/cmkandemir Ara Bağlantı Yapıları Bir bilgisayar sistemi MİB, bellek ve

Detaylı

Boşlukta Dalga Fonksiyonlarının Normalleştirilmesi

Boşlukta Dalga Fonksiyonlarının Normalleştirilmesi Boşlukta Dalga Fonksiyonlarının Noralleştirilesi Konu tesilinde oentu özduruları, u p (x) ile belirlenir ve ile verilir. Ancak, boşlukta noralleştirilecek bir olasılık yoğunluğu gibi yorulanaaz zira (

Detaylı

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 TEMEL LOJİK ELEMANLAR VE UYGULAMALARI DENEY SORUMLUSU Arş. Gör. Erdem ARSLAN Arş. Gör.

Detaylı

BİLGİSAYAR MİMARİSİ. İkili Kodlama ve Mantık Devreleri. Özer Çelik Matematik-Bilgisayar Bölümü

BİLGİSAYAR MİMARİSİ. İkili Kodlama ve Mantık Devreleri. Özer Çelik Matematik-Bilgisayar Bölümü BİLGİSAYAR MİMARİSİ İkili Kodlama ve Mantık Devreleri Özer Çelik Matematik-Bilgisayar Bölümü Kodlama Kodlama, iki küme elemanları arasında karşılıklığı kesin olarak belirtilen kurallar bütünüdür diye tanımlanabilir.

Detaylı

Şekil 8.6 Bilgi akışının sistem içinde düzenlenmesi

Şekil 8.6 Bilgi akışının sistem içinde düzenlenmesi 97 Bu denkle takıının çözüü belirli bir P1(t) ve P3(t) rejii için Z düzeyinin değişiini verir. Bu çözüün ateatiksel tekniklerle gerçekleştirilesi güçtür. Ancak noral progralaa bilen biri tarafından kolayca

Detaylı

BÖL-1B. Fatih University- Faculty of Engineering- Electric and Electronic Dept.

BÖL-1B. Fatih University- Faculty of Engineering- Electric and Electronic Dept. SAYISAL DEVRE TASARIMI EEM122 Ref. Morris MANO & Michael D. CILETTI SAYISAL TASARIM 4. Baskı BÖL-1B Fatih University- Faculty of Engineering- Electric and Electronic Dept. İŞARETLİ SAYILAR Bilgisayar gibi

Detaylı

C-Serisi PLC İleri Seviye Eğitim

C-Serisi PLC İleri Seviye Eğitim C-Serisi PLC İleri Seviye Eğitim 1 PLC ye Giriş 2 PLC ye Giriş 3 PLC ye Giriş CJ1 I/O Modülleri - 8/16/32/64pts Max I/O - 160,640 Max Program Kapasitesi - 20K Steps Komut sayısı - 400 4 PLC Ladder Diyagram

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Operand türleri Assembly dili 2 İşlemcinin yapacağı iş makine komutlarıyla belirlenir. İşlemcinin

Detaylı

DOKUZ EYLÜL ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ MÜDÜRLÜĞÜ DERS/MODÜL/BLOK TANITIM FORMU. Dersin Kodu: CSE 5051

DOKUZ EYLÜL ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ MÜDÜRLÜĞÜ DERS/MODÜL/BLOK TANITIM FORMU. Dersin Kodu: CSE 5051 Dersi Veren Birim: Fen Bilimleri Enstitüsü Dersin Türkçe Adı: Mikroişlemcilere Giriş Dersin Orjinal Adı: Introduction to Microprocessors Dersin Düzeyi:(Ön lisans, Lisans, Yüksek Lisans, Doktora) Lisansüstü

Detaylı

Verilog HDL e Giriş Bilg. Yük. Müh. Selçuk BAŞAK

Verilog HDL e Giriş Bilg. Yük. Müh. Selçuk BAŞAK Verilog HDL e Giriş Bilg. Yük. Müh. Selçuk BAŞAK SelSistem Bilgi ve İletişim Teknolojileri www.selsistem.com.tr Donanım Tanımlama Dilleri - HDL İlk olarak 1977 yılında, ISP(Instruction Set Processor) -

Detaylı

Mantık Devreleri Laboratuarı

Mantık Devreleri Laboratuarı 2013 2014 Mantık Devreleri Laboratuarı Ders Sorumlusu: Prof. Dr. Mehmet AKBABA Laboratuar Sorumlusu: Emrullah SONUÇ İÇİNDEKİLER Deney 1: 'DEĞİL', 'VE', 'VEYA', 'VE DEĞİL', 'VEYA DEĞİL' KAPILARI... 3 1.0.

Detaylı

Bilgisayarların Gelişimi

Bilgisayarların Gelişimi Bilgisayarların Gelişimi Joseph Jacquard (1810) Bilgisayar tabanlı halı dokuma makinesi Delikli Kart (Punch Card) Algoritma ve Programlama 6 Bilgisayar Sistemi 1. Donanım fiziksel aygıtlardır. 2. Yazılım

Detaylı

SONLU ELEMANLAR TEKNİĞİYLE ELDE EDİLEN AKILLI KİRİŞ

SONLU ELEMANLAR TEKNİĞİYLE ELDE EDİLEN AKILLI KİRİŞ SONLU ELEMANLAR EKNİĞİYLE ELDE EDİLEN AKILLI KİRİŞ MODELİNİN HASSASİYEİNİN İYİLEŞİRİLMESİ arkan Çalışkan 1 Volkan Nalbantoğlu 2 Deet Ülker 1 Yavuz Yaan 1 tarkan@ae.etu.edu.tr vnalbant@geo.aselsan.co dulker@ae.etu.edu.tr

Detaylı

Proje Teslimi: 2013-2014 güz yarıyılı ikinci ders haftasında teslim edilecektir.

Proje Teslimi: 2013-2014 güz yarıyılı ikinci ders haftasında teslim edilecektir. ELEKTRONĐK YAZ PROJESĐ-2 (v1.1) Yıldız Teknik Üniversitesi Elektronik ve Haberleşme Mühendisliği Bölümünde okuyan 1. ve 2. sınıf öğrencilerine; mesleği sevdirerek öğretmek amacıyla, isteğe bağlı olarak

Detaylı

DelcomRF DRFM 22. 433MHz / 868MHz. Ürün Kılavuzu

DelcomRF DRFM 22. 433MHz / 868MHz. Ürün Kılavuzu DelcoRF DRFM 22 433MHz / 868MHz Ürün Kılavuzu DelcoRF GFSK RF MODEM MODUL Versiyon: 1.0.0 www.delcorf.co.tr Genel Özellikler: Dar band FSK Modülasyonlu haberleşe. Dijital USART seri haberleşe standardında.

Detaylı

BİÇİMSEL DİLLER VE OTOMATLAR

BİÇİMSEL DİLLER VE OTOMATLAR BİÇİMSEL DİLLER VE OTOMATLAR Hazırlayanlar: Prof.Dr. Emre HARMANCI Yard.Doç.Dr. Osman Kaan EROL İçindekiler: 1. Sonlu Durumlu Makinalar 1.1. Tanım ve modeller (Mealy ve Moore Modelleri) 1.2. Algoritmik

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Seri Haberleşme (RS232) Uygulaması

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Seri Haberleşme (RS232) Uygulaması FPGA ile Seri Haberleşme (RS232) Uygulaması 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda, geliştirme kartımız üzerinde bulunan giriş / çıkış pinlerini FT232RL

Detaylı

DENEY 7. Frekans Modülasyonu

DENEY 7. Frekans Modülasyonu DENEY 7 Frekans Modülasyonu Frekans Modülasyonu Frekans ve az odülasyonları açı (t) odülasyonu teknikleri olarak adlandırılırlar. Frekans odülasyonunda, taşıyıcı sinyalin rekansı odüle eden sinyal ile

Detaylı

DĐYOTLARIN DOĞRULTUCU DEVRELERDE KULLANILMASI

DĐYOTLARIN DOĞRULTUCU DEVRELERDE KULLANILMASI DENEY NO : 4 DĐYOLARIN DOĞRULUCU DERELERDE KULLANILMASI Bu deneyde, diyotun teel kullanı alanlarından biri olan doğrultucu devreleri tanıtak ve çalışalarını pratik olarak anlatak, birbirlerine olan üstünlüklerinin

Detaylı

ELASTİK DALGA TEORİSİ

ELASTİK DALGA TEORİSİ ELASTİK DALGA TEORİSİ ( - 5. ders ) Doç.Dr. Eşref YALÇINKAYA Geçtiğiiz hafta; Dalga hareketi ve türleri Yaılan dalga Yaılan dalga enerjisi ve sönülene Bu derste; Süperpozison prensibi Fourier analizi Dalgaların

Detaylı

Kontrol Sistemlerinin Analizi

Kontrol Sistemlerinin Analizi Sistemlerin analizi Kontrol Sistemlerinin Analizi Otomatik kontrol mühendisinin görevi sisteme uygun kontrolör tasarlamaktır. Bunun için öncelikle sistemin analiz edilmesi gerekir. Bunun için test sinyalleri

Detaylı

DEPREMLERİN KAYIT EDİLMESİ - SİSMOGRAFLAR -

DEPREMLERİN KAYIT EDİLMESİ - SİSMOGRAFLAR - DEPREMLERİN KAYIT EDİLMESİ - SİSMOGRAFLAR - Doç.Dr. Eşref YALÇINKAYA (. Ders) Bu derste ; Sismograf ve bileşenleri Algılayıcı Sinyal koşullandırma birimi Kayıt sistemi Sismometrenin diferansiyel denklemi

Detaylı