Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans:

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/"

Transkript

1 Eşzamanlı (Senkron) Ardışıl Devrelerin Tasarlanması (Design) Bir ardışıl devrenin tasarlanması, çözülecek olan problemin sözle anlatımıyla (senaryo) başlar. Bundan sonra aşağıda açıklanan aşamalardan geçilerek eşzamanlı ardışıl devre tasarlanır ve gerçeklenir. Tasarım aşaması bilgisayar programı yazmaya benzer. Fiziksel dünyadaki problem ortaya konulduktan sonra uygun bir modelleme yapılarak çözüme giden yolun aranması gerekir. Bir ardışıl devrenin tasarlanması aşağıdaki adımlardan oluşur:. Çözülecek problemin (devrenin yapması gereken işin) sözle anlatımı. Burada belirsizlikleri ortadan kaldırmak için zaman diyagramı da çizilebilir. 2. Devrenin hangi modele (Mealy ya da Moore) göre tasarlanmasının uygun olacağına karar verilir. 3. Sonlu durumlu makineyi oluşturacak olan durumlar belirlenmeye çalışır. Kaç durum olacak, hangi giriş değerlerinde hangi durumlara geçilecek? Buna göre devrenin durum geçiş ve çıkış tabloları oluşturulur. Bu adımda, eğer kolaylık sağlayacaksa durum geçiş diyagramı da çizilebilir. Mümkünse durum indirgemesi yapılır. Burada amaç en az sayıda durum ile makinenin istenen işlevi yerine getirmesini sağlamaktır. Bu aşama program yazmaya benzer; bu nedenle sezgisel yaklaşım da gerektirir. Ders Notlarının Creative Commons lisansı Feza BULUCA ya aittir. Lisans: Yrd.Doç.Dr. Feza BULUCA Durum kodlaması: Durumlara ikili kodlar karşı düşürülür. Eğer durum sayısı n ise durum değişkeni sayısı (flip-flop sayısı) m aşağıdaki gibi hesaplanır. m= log 2 n Burada x tavan fonksiyonudur. Örneğin 4. = 5 ve 4. = 4 Durum geçiş ve çıkış tablosu gerçek durum değişkenleri değerleri kullanılarak oluşturulur. 5. Kullanılacak flip-flop tipine karar verilir. 6. Seçilen flip-flopların geçiş tablolarından yararlanılarak durum geçiş tablosuna uygun değerler yazılır ve flip-flopları sürme fonksiyonu (F) elde edilir. 7. Çıkış tablosundan çıkış fonksiyonu (G) elde edilir. 8. Fonksiyonlara ait kombinezonsal devreler dersin ilk bölümünde öğrenildiği şekilde en düşük maliyetle gerçeklenerek çizilir Yrd.Doç.Dr. Feza BULUCA 8.2

2 Eşzamanlı (Senkron) Devre Tasarım Örneği: Bir girişi () ve bir çıkışı () olan eşzamanlı ardışıl bir devre tasarlanacaktır. Devrenin girişi bir birini izleyen en az iki saat darbesi boyunca lojik 'da kaldıktan sonra, girişten lojik geldiği sürece devrenin çıkışı lojik olacaktır. Problemi daha iyi anlayabilmek için zamanlama diyagramı da çizilebilir. SAAT Devrenin, yukarıdaki zaman diyagramına uygun olarak çalışması isteniyorsa tasarımın Mealy modeline göre yapılması gerekir. Çünkü çıkış, girişteki değişimden hemen (saat işareti gelmeden) etkilenmektedir Yrd.Doç.Dr. Feza BULUCA 8.3. Sözle anlatımdan (zamanlama diyagramından) durum diyagramının oluşturulması. (Sezgisel yaklaşım, deneyim gerektirir.) Makine üç durum ile tasarlanabilir: A: Hiç sıfır gelmedi durumu B: Birinci sıfır geldi C: İkinci sıfır geldi 2. Durum geçiş tablosu S, S A B, A, B C, A, C C, A, / Durum Kodlaması: A: B: C: / (Farklı bir kodlama yapılabilir.) Durum değişkenleri: Q, Q / C A / =/= / B Q Q, Q Q,,,,,, øø,ø øø,ø Durum kodlaması farklı şekilde de yapılabilirdi. Örneğin A:, B:, C: olabilirdi. Bu durumda devrenin iç yapısı farklı olurdu. Ancak dışarıdan bakıldığında devre aynı işlevi yerine getirirdi Yrd.Doç.Dr. Feza BULUCA 8.4

3 3. Durum değişkenlerinin geçişlerinin belirlenmesi: Devrenin durum geçiş tablosundan yararlanılarak her durum değişkeninin (flipflopun) hangi geçişi yapacağı ayrı ayrı belirlenir. Yazımda kolaylık sağlamak için geçişlere simgesel isimler karşı düşürülerek tablolar yeniden düzenlenebilir. Q Q Q in geçişleri (değişimleri): simge QQ α β Böylece her durum değişkeninin (flipflopun) hangi durumda hangi giriş değeri için hangi geçişi yapacağı belirlenmiştir. Q Q, Durum geçiş tablosu Q Q,,,,,, øø,ø øø,ø Q Q Q ın geçişleri: Q Q Q Q ø ø ø ø Q Q Q Q Q Q Q Q α α β β β ø ø ø ø 2-29 Yrd.Doç.Dr. Feza BULUCA Kullanılacak flip-floplara karar verilmesi: Bu örnekte pozitif kenar tetiklemeli D tipi flip-floplar kullanılacaktır. Bir önceki (3.) adımda her flip-flopun hangi geçişi yapması gerektiği belirlenmişti. Bu aşamada seçilen flip-flopa istenilen bir geçişin yaptırılabilmesi için girişlerine hangi değerlerin uygulanması gerektiği araştırılacaktır. Bunun için kullanılacak flip-flopun geçiş tablosundan yaralanılaracaktır. D flip-flopu geçiş tablosu: simge QQ D α β Bu tablo D flip-flopunun belli bir durum değişikliğini yapması için girişlerine uygulanması gereken değerleri gösterir. Değişik tipteki flip-flopların geçiş tabloları da farklıdır. Görüldüğü gibi D flip-flopunun tablosu basittir. D girişine verilmesi gereken değer sonraki durum değişkeninin değeri ile aynıdır Yrd.Doç.Dr. Feza BULUCA 8.6

4 Durum geçiş tablolarına flip-flopun alması gereken giriş değerleri yerleştirilir. Q Q Q Q Q Q Q Q simge QQ D α α β α β β β ø ø ø ø D D Q Q Q Q ø ø ø ø İfadeleri kolaylıkla yazabilmek için yandaki tablolar Karnaugh diyagramı olarak oluşturulmuştur. Satır ve sütunlar Gray kodundadır. D = 'Q D = ' Böylece flip-flopları sürerek sonraki durumu belirleyen F fonksiyonu elde edilmiş oldu Yrd.Doç.Dr. Feza BULUCA Çıkış tablosu kullanılarak çıkış fonksiyonu G belirlenir. Q Q ø ø = 'Q F ve G fonksiyonları tasarlanırken, dersin ilk bölümlerinde öğrenilen kombinezonsal devre tasarımı yöntemleri (asal çarpımlar, seçenekler tablosu) uygulanmalıdır. Bu örnekteki fonksiyonlar basit olduğundan indirgemeye gerek kalmamıştır. 6. Devrenin lojik elemanlar ile gerçeklenip çizilmesi. D D Q Q D Q D Q Saat 2-29 Yrd.Doç.Dr. Feza BULUCA 8.8

5 Örnek: Aynı devrenin JK flip-flopları ile tasarlanması Tasarım 4. maddeye kadar aynı şekilde yapılacaktır. 4. Bu örnekte pozitif kenar tetiklemeli JK tipi flip-floplar kullanılacaktır. JK flip-flopu geçiş tablosu: simge QQ J K ø α ø β ø ø D flip-flopları yerine JK flip-floplarının kullanılması genellikle daha basit lojik fonksiyonların elde edilmesini sağlar. Ancak bu örnekteki devre zaten çok sade olduğundan daha fazla basitleşme sağlanmamaktadır. Durum geçiş tablosundan durum değişkenlerinin geçişleri 3. maddede belirlenmişti. Q Q, Q Q Q Q Q Q Q Q Q Q,, α,, α β,, β β øø,ø øø,ø ø ø ø ø 2-29 Yrd.Doç.Dr. Feza BULUCA 8.9 Durum geçiş tablolarına flip-flopun alması gereken giriş değerleri yerleştirilir. Q Q Q Q JK flip-flopu geçiş tablosu: Q Q Q Q simge QQ J K α ø α β α ø β β β ø ø ø ø ø ø J K Q Q Q Q ø ø ø ø ø ø ø ø ø ø J = 'Q K = J Q Q Q Q ø ø ø ø ø ø ø ø ø ø J = ' K K = Böylece flip-flopları sürerek sonraki durumu belirleyen F fonksiyonu elde edilmiş oldu Yrd.Doç.Dr. Feza BULUCA 8.

6 5. Çıkış tablosu kullanılarak çıkış fonksiyonu G belirlenir. Q Q ø ø = 'Q 6. Devrenin lojik elemanlar ile gerçeklenip çizilmesi. J K J K Q Q J J Q K Q K Saat 2-29 Yrd.Doç.Dr. Feza BULUCA 8. Flip-flopların geçiş tabloları: Eşzamanlı ardışıl devre tasarımında gerekli olduğundan değişik flip-flopların geçiş tabloları aşağıda verilmiştir. SR flip-flopu geçiş tablosu: simge QQ S R ø α β ø JK flip-flopu geçiş tablosu: simge QQ J K ø α ø β ø ø D flip-flopu geçiş tablosu: simge QQ D α β T flip-flopu geçiş tablosu: simge QQ T α β 2-29 Yrd.Doç.Dr. Feza BULUCA 8.2

7 Eşzamanlı (Senkron) Devre Tasarım Örneği 2: Moore Modeli Moore modeline göre tasarım yapılırken de önceki örneklerde gösterilmiş olan aşamalardan geçilir. Burada dikkat edilmesi gereken nokta, çıkışların sadece durumlara bağlı olduğu, bu nedenle de her duruma bir çıkış değerinin karşı düşürüldüğüdür. Problem: İki girişi (,Y) bir çıkışı () olan eşzamanlı ardışıl bir devre tasarlanacaktır. Makinenin çalışmaya başlamasından itibaren girişlerden gelen değerlerinin sayısı 4 ün katları ise devrenin çıkışı değerini alacaktır. Aksi durumda çıkış olacaktır. Girişten hiç '' gelmemesi (sıfır tane) durumunda çıkış olacaktır. Çözüm: Devrenin modulo 4 işlemini gerçekleştirmesi ve kalan ise çıkışını yapması istenmektedir. Bu makine 4 adet durum ile gerçeklenebilir:. Kalan : S Çıkış sadece devre bu durumdayken '' olacaktır. 2. Kalan : S 3. Kalan 2: S2 4. Kalan 3: S Yrd.Doç.Dr. Feza BULUCA 8.3 Durum/çıkış tablosu: S Anlam S Y Sıfır tane S S S S2 S Bir tane S S S2 S3 S2 İki tane S2 S2 S3 S S3 Üç tane S3 S3 S S S Durum Kodlaması: S: S: S2: S3: Durum Değişkenleri: Q, Q Kodlanmış Durum/çıkış tablosu: Q Q QQ Y Q Y D Y QQ Q Y Q Y Q Y D Y QQ Q Y Q Y Q Y Q Y D Flip-flopları ile tasarım yapıldığında Q =D karakteristik fonksiyonundan yararlanılır. D= Q ' Y Q' Y Q ' Y' Q Y' D= Q' ' Y Q' Y' Q ' Y' Q' Y = Q' Q' 2-29 Yrd.Doç.Dr. Feza BULUCA 8.4

8 Eşzamanlı Devrelerin Gerçeklenmesinde Veri Seçicilerin Kullanılması Bir eşzamanlı ardışıl devre D flip-flopları ile tasarlanırsa, flip-flopların girişlerini süren fonksiyonun gerçeklenmesinde veri seçicilerin kullanılması daha uygun çözümlerin bulunmasını sağlayabilir. Bu yöntemde, Her D flip-flopunun girişi bir veri seçici ile sürülür. Veri seçicilerin seçme uçlarına, durum değişkenleri (flip-flopların çıkışları) bağlanır. Böylece bir veri seçici makinenin her durumu için girişlerinden birini seçmiş olur. Veri seçicicin veri girişlerine makinenin o durumdan sonra geçeceği durumun kodunu üretecek değerler bağlanır. Veri seçicilerin veri girişlerine uygulanacak değerler durum tablosunun satırlarından yararlanılarak bulunur. Bir önceki örnekte gerçeklenen devre bir sonraki yansıda veri seçiciler ile yeniden gerçeklenmiştir Yrd.Doç.Dr. Feza BULUCA 8.5 D girişlerine gelmesi gereken değerler: (Önceki örnekten alınmıştır.) D Y QQ Veri Seçiciye: Y Y ( Y) D Y QQ ( Y) Y Veri Seçiciye: Y ( Y) ( Y) Y I I I 2 I 3 4: VS s s D D Q Q Q ' I I I 2 I 3 s s 4: VS D Q D Q Q ' Saat 2-29 Yrd.Doç.Dr. Feza BULUCA 8.6

9 Sayıcı Tasarımı Belli bir sekansta sayım yapan sayıcılar eşzamanlı ardışıl devre olarak tasarlanırlar. Sayıcıların tasarlanmasında Moore modelinin kullanılması daha uygundur. Sayıcının üreteceği her sayı, bir durum olarak kabul edilir. Çıkışlar durum değişkenlerinden doğrudan elde edilir. Örnek: Aşağıda blok diyagramı gösterilen, bir adet denetim girişine () sahip sayıcıyı tasarlayınız. Sayıcı, doğal ikili sayı sisteminde düzeninde sayacaktır. 3'ten 'a geri dönülecektir. = olduğunda sayım ileriye doğru, = olduğunda geriye doğru yapılacaktır. İleri/geri Sayıcı z z saat Sayıcının çıkışları Yüksek anlamlı bit (MSB) Düşük anlamlı bit (LSB) 2-29 Yrd.Doç.Dr. Feza BULUCA 8.7 = Durum diyagramı: = = = = = = Durum değişkenleri ile çıkışlar aynı değerlere sahiptir. = Sayıcının D flip-flopları ile tasarlanması: Hatırlatma: Q =D D Q Q D = ' (Q Q) (Q Q)' D = Q Q Durum tablosu: Q Q Q Q Durum tablosunun aynı zamanda bir Karnaugh diyagramı olması için durumlar satırlara Gray koduna göre yerleştirilmiştir. D Q Q D = Q' Çıkışlar: = Q = Q 2-29 Yrd.Doç.Dr. Feza BULUCA 8.8

10 Sayıcının gerçeklenmesinde lojik bağlaçlar (VE, VEYA, YA_DA) kullanılabileceği gibi veri seçiciler de tercih edilebilir. Aşağıda D girişi ifadesi basit olduğu için ( D = Q' ) lojik kapı ile (bir adet tümleme) gerçeklenmiştir. D girişini sürmek için veri seçici kullanılmıştır. Hatırlatma: Veri seçicinin seçme uçlarına durum değişkenleri (Q Q ) bağlanacaktır. ' D Q Q Veri Seçiciye: ' ' D D Q Q I s s I 4: I 2 I 3 VS D D Q Q Saat 2-29 Yrd.Doç.Dr. Feza BULUCA 8.9 Örnek: Doğal ikili sayı sisteminde düzeninde sayan (6'ya sayıcı) ve bir adet denetim girişine () ait sayıcıyı tasarlayınız. = olduğunda sayım birer adım ileriye doğru, = olduğunda ikişer adım ileriye doğru yapılacaktır. Durum tablosu: = Q 2 Q Q = = Q = = 2 Q Q = = = = = = = ØØØ ØØØ ØØØ ØØØ Karnaugh diyagramı olarak düzenlenmiş durum tablosu: Q 2 Q Q Q Q 2 Q ØØØ ØØØ ØØØ ØØØ 2-29 Yrd.Doç.Dr. Feza BULUCA 8.2

11 Bu örnekte tasarımı T flip-floparı kullanarak yapalım. Hatırlatma: T flip-flopu geçiş tablosu: simge QQ T α β Q 2 Q Q Q Q 2 Q ØØØ ØØØ ØØØ ØØØ T 2 Q Q 2 Q Ø Ø Ø Ø T 2 ' = Q ' ' Q 2 ' Q ' T 2 = (Q ) (Q 2 Q ) T Q Q 2 Q Ø Ø Ø Ø T = Q 2 ' Q 2 ' Q T Q Q 2 Q Ø Ø Ø Ø T = ' 2-29 Yrd.Doç.Dr. Feza BULUCA 8.2 T 2 = (Q ) (Q 2 Q ) T = Q 2 ' Q 2 ' Q T = ' T 2 T Q Q 2 Q 2 ' 2 T T Q Q Q ' Q2 Q2' Q Q' Q Q' Saat T T Q Q Q ' 2-29 Yrd.Doç.Dr. Feza BULUCA 8.22

12 Eşzamanlı Devrelerin PAL ile Gerçeklenmesi Kombinezonsal devrelerde olduğu gibi eşzamanlı devrelerin de gerçeklenmesin de PAL elemanları kullanılabilir. Bunun için içinde flip-flop bulunan elemanlardan yararlanılır. Yandaki şekilde örnek olarak 6R8 PAL devresi gösterilmiştir Yrd.Doç.Dr. Feza BULUCA 8.23

(I) şimdiki. durum (S) belleği. saat. girşi

(I) şimdiki. durum (S) belleği. saat. girşi ers Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/ Eşzamanlı (Senkron) Ardışıl evreler (Synchronous Sequential Circuits) Ardışıl (sequential)

Detaylı

1. Sayıcıların çalışma prensiplerini ve JK flip-floplarla nasıl gerçekleştirileceğini anlamak. 2. Asenkron ve senkron sayıcıları incelemek.

1. Sayıcıların çalışma prensiplerini ve JK flip-floplarla nasıl gerçekleştirileceğini anlamak. 2. Asenkron ve senkron sayıcıları incelemek. DENEY 7-2 Sayıcılar DENEYİN AMACI 1. Sayıcıların çalışma prensiplerini ve JK flip-floplarla nasıl gerçekleştirileceğini anlamak. 2. Asenkron ve senkron sayıcıları incelemek. GENEL BİLGİLER Sayıcılar, flip-floplar

Detaylı

BÖLÜM 2 SAYI SİSTEMLERİ

BÖLÜM 2 SAYI SİSTEMLERİ İÇİNDEKİLER BÖLÜM 1 GİRİŞ 1.1. Lojik devre içeriği... (1) 1.1.1. Kodlama, Kod tabloları... (2) 1.1.2. Kombinezonsal Devre / Ardışıl Devre... (4) 1.1.3. Kanonik Model / Algiritmik Model... (4) 1.1.4. Tasarım

Detaylı

ARDIŞIL DEVRELER SENKRON ARDIŞIL DEVRELER

ARDIŞIL DEVRELER SENKRON ARDIŞIL DEVRELER ARDIŞIL DEVRELER TANIM: ÇIKIŞLARIN BELİRLİ BİR ANDAKİ DEĞERİ, GİRİŞLERİN YANLIZA O ANKİ DEĞERİNE BAĞLI OLAN DEVRELER KOMBİNASYONEL DEVRELER OLARAK İSİMLENDİRİLİR. ÇIKIŞLARIN BELİRLİ BİR ANDAKİ DEĞERİ,

Detaylı

DENEY 2- Sayıcılar. 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi.

DENEY 2- Sayıcılar. 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi. DENEY 2- Sayıcılar DENEY 2- JK Flip-Flop Devreleri DENEYİN AMACI 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi. GENEL BİLGİLER Sayıcılar flip-floplar

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ Yrd. Doç. Dr. Mustafa Hikmet Bilgehan UÇAR 1 3. HAFTA Yrd. Doç. Dr. Mustafa Hikmet Bilgehan UÇAR Karnaugh Haritaları Karnaugh

Detaylı

BÖLÜM 9 (COUNTERS) SAYICILAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır

BÖLÜM 9 (COUNTERS) SAYICILAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır SYISL ELETRONİ ÖLÜM 9 (OUNTERS) SYIILR u bölümde aşağıdaki konular anlatılacaktır Sayıcılarda Mod kavramı senkron sayıcılar senkron yukarı sayıcı (Up counter) senkron aşağı sayıcı (Down counter) senkron

Detaylı

İSTANBUL TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ LOJİK DEVRELERİ LABORATUVARI DENEY RAPORU

İSTANBUL TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ LOJİK DEVRELERİ LABORATUVARI DENEY RAPORU İSTANBUL TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ LOJİK DEVRELERİ LABORATUVARI DENEY RAPORU DENEYİN ADI : BELLEKLE TASARIM Seri Aritmetik Lojik Birim II (9.2) RAPORU HAZIRLAYAN : BEYCAN KAHRAMAN

Detaylı

Sayıcılar n bitlik bir bilgiyi tutmanın yanısıra her saat çevriminde tuttukları değeri artıran veya azaltan ardışıl devrelerdir.

Sayıcılar n bitlik bir bilgiyi tutmanın yanısıra her saat çevriminde tuttukları değeri artıran veya azaltan ardışıl devrelerdir. Sayıcılar (Counters) Sayıcılar n bitlik bir bilgiyi tutmanın yanısıra her saat çevriminde tuttukları değeri artıran veya azaltan ardışıl devrelerdir. Genel olarak iki gruba ayrılır: Senkron sayıcılar Asenkron

Detaylı

Bölüm 4 Ardışıl Lojik Devre Deneyleri

Bölüm 4 Ardışıl Lojik Devre Deneyleri Bölüm 4 Ardışıl Lojik Devre Deneyleri DENEY 4-1 Flip-Floplar DENEYİN AMACI 1. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop

Detaylı

Deney 3: Asenkron Sayıcılar

Deney 3: Asenkron Sayıcılar Deney 3: Asenkron Sayıcılar Sayıcılar hakkında genel bilgi sahibi olunması, asenkron sayıcıların kurulması ve incelenmesi Kullanılan Elemanlar 1xLM555 Entegresi, 1x10 kohm direnç, 1x100 kohm direnç, 1x10

Detaylı

SEVİYE MODLU ARDIŞIL DEVRELER 1- GENEL TANITIM. KTÜ Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü Sayısal Tasarım Laboratuarı

SEVİYE MODLU ARDIŞIL DEVRELER 1- GENEL TANITIM. KTÜ Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü Sayısal Tasarım Laboratuarı KTÜ Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü Sayısal Tasarım Laboratuarı SEVİYE MODLU ARDIŞIL DEVRELER - GENEL TANITIM Seviye modlu ardışıl devreler, kombinasyonal devrelere geri besleme özelliği

Detaylı

Ardışıl Devre Sentezi (Sequential Circuit Design)

Ardışıl Devre Sentezi (Sequential Circuit Design) Ardışıl Devre Sentezi (Sequential Circuit Design) Ardışıl devre tasarımı prosedürü: Adım 1: Problemin tanımına uygun olarak durum tablosunu yapılır. Tablo şimdiki durumları, girişleri, gelecek durumları

Detaylı

Y.Doç.Dr.Tuncay UZUN 6. Ardışıl Lojik Devreler 2. Kombinezonsal devre. Bellek. Bellek nedir? Bir bellek şu üç önemli özelliği sağlamalıdır:

Y.Doç.Dr.Tuncay UZUN 6. Ardışıl Lojik Devreler 2. Kombinezonsal devre. Bellek. Bellek nedir? Bir bellek şu üç önemli özelliği sağlamalıdır: 6.ARDIŞIL LOJĐK DEVRELER 6.1.Ardışıl Lojik Devre Temelleri SR Tutucu Flip-Flop(FF) Saat, Kenar tetikleme D FF, JK FF, T FF 6.2.Ardışıl Devrelerin Analizi Moore modeli: Çıkışlar= f(şimdiki durum) Mealy

Detaylı

Teorik Bilgi DENEY 7: ASENKRON VE SENKRON SAYICILAR

Teorik Bilgi DENEY 7: ASENKRON VE SENKRON SAYICILAR DENEY 7: ASENKRON VE SENKRON SAYICILAR Deneyin Amaçları Asenkron ve senkron sayıcı devre yapılarının öğrenilmesi ve deneysel olarak yapılması Deney Malzemeleri 74LS08 Ve Kapı Entegresi (1 Adet) 74LS76

Detaylı

6. Fiziksel gerçeklemede elde edilen sonuç fonksiyonlara ilişkin lojik devre şeması çizilir.

6. Fiziksel gerçeklemede elde edilen sonuç fonksiyonlara ilişkin lojik devre şeması çizilir. 5. KOMBİNEZONSAL LOJİK DEVRE TASARIMI 5.1. Kombinezonsal Devre Tasarımı 1. Problem sözle tanıtılır, 2. Giriş ve çıkış değişkenlerinin sayısı belirlenir ve adlandırılır, 3. Probleme ilişkin doğruluk tablosu

Detaylı

LOJİK İFADENİN VE-DEĞİL VEYA VEYA-DEĞİL LOJİK DİYAGRAMLARINA DÖNÜŞTÜRÜLMESİ

LOJİK İFADENİN VE-DEĞİL VEYA VEYA-DEĞİL LOJİK DİYAGRAMLARINA DÖNÜŞTÜRÜLMESİ LOJİK İFADENİN VE-DEĞİL VEYA VEYA-DEĞİL LOJİK DİYAGRAMLARINA DÖNÜŞTÜRÜLMESİ Sayısal tasarımcılar tasarladıkları devrelerde çoğu zaman VE-Değil yada VEYA-Değil kapılarını, VE yada VEYA kapılarından daha

Detaylı

ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOULU

ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOULU ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOULU BMT109 SAYISAL ELEKTRONİK Öğr.Gör.Uğur YEDEKÇİOğLU Boolean İfadesinden Sayısal Devrelerin Çizilmesi Örnek : D = B+AC ifadesini lojik kapıları kullanarak çiziniz.

Detaylı

DENEY 1a- Kod Çözücü Devreler

DENEY 1a- Kod Çözücü Devreler DENEY 1a- Kod Çözücü Devreler DENEYİN AMACI 1. Kod çözücü devrelerin çalışma prensibini anlamak. GENEL BİLGİLER Kod çözücü, belirli bir ikili sayı yada kelimenin varlığını belirlemek için kullanılan lojik

Detaylı

DENEY 6: VERİ SEÇİCİLER İLE TASARIM

DENEY 6: VERİ SEÇİCİLER İLE TASARIM DENEY 6: VERİ SEÇİCİLER İLE TASARIM 1 Amaç Mantıksal devre tasarımı ve veri seçiciler (çoklayıcı, multiplexer veya mux) ile gerçeklenmesi. Aynı giriş değerlerinden çoklu çıkış veren mantıksal devre uygulaması

Detaylı

ARDIŞIL DEVRELER (Sequential Circuits)

ARDIŞIL DEVRELER (Sequential Circuits) ayısal evreler (Lojik evreleri) AIŞIL EVELE (equential ircuits) ersin ilk bölümünde kombinezonsal (combinational) devreleri inceledik. Bu tür devrelerde çıkışın değeri o andaki girişlerin değerlerine bağlıdır.

Detaylı

DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler

DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler DENEY 2a- JK Flip-Flop Devreleri DENEYİN AMACI 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi. GENEL

Detaylı

KENAR TETİKLEMELİ D FLİP-FLOP

KENAR TETİKLEMELİ D FLİP-FLOP Karadeniz Teknik Üniversitesi Bilgisaar Mühendisliği Bölümü Saısal Tasarım Laboratuarı KENAR TETİKLEMELİ FLİP-FLOP 1. SR Flip-Flop tan Kenar Tetiklemeli FF a Geçiş FF lar girişlere ugulanan lojik değerlere

Detaylı

Bölüm 4 Ardışıl Lojik Devre Deneyleri

Bölüm 4 Ardışıl Lojik Devre Deneyleri Bölüm 4 Ardışıl Lojik Devre Deneyleri DENEY 4-1 Flip-Floplar DENEYİN AMACI 1. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop

Detaylı

SAYICILAR. Tetikleme işaretlerinin Sayma yönüne göre Sayma kodlanmasına göre uygulanışına göre. Şekil 52. Sayıcıların Sınıflandırılması

SAYICILAR. Tetikleme işaretlerinin Sayma yönüne göre Sayma kodlanmasına göre uygulanışına göre. Şekil 52. Sayıcıların Sınıflandırılması 25. Sayıcı Devreleri Giriş darbelerine bağlı olarak belirli bir durum dizisini tekrarlayan lojik devreler, sayıcı olarak adlandırılır. Çok değişik alanlarda kullanılan sayıcı devreleri, FF lerin uygun

Detaylı

18. FLİP FLOP LAR (FLIP FLOPS)

18. FLİP FLOP LAR (FLIP FLOPS) 18. FLİP FLOP LAR (FLIP FLOPS) Flip Flop lar iki kararlı elektriksel duruma sahip olan elektronik devrelerdir. Devrenin girişlerine uygulanan işarete göre çıkış bir kararlı durumdan diğer (ikinci) kararlı

Detaylı

Lojik Fonksiyonların Yalınlaştırılması (İndirgenmesi) F(A, B, C)= Σm(1,3,5,6,7) : 1. kanonik açılım = A'B'C + A'BC + AB'C + ABC' + ABC A B C F F= AB+C

Lojik Fonksiyonların Yalınlaştırılması (İndirgenmesi) F(A, B, C)= Σm(1,3,5,6,7) : 1. kanonik açılım = A'B'C + A'BC + AB'C + ABC' + ABC A B C F F= AB+C Lojik Fonksiyonların Yalınlaştırılması (İndirgenmesi) ir lojik fonksiyonun birçok cebirsel ifadesi vardır. (kz. kanonik açılımlar ve yalınlaştırılmış ifadeleri) Yalınlaştırmada amaç, belli bir maliyet

Detaylı

Lojik Fonksiyonların Yalınlaştırılması (İndirgenmesi)

Lojik Fonksiyonların Yalınlaştırılması (İndirgenmesi) Lojik Fonksiyonların Yalınlaştırılması (İndirgenmesi) ir lojik fonksiyonun birçok cebirsel ifadesi vardır. (kz. kanonik açılımlar ve yalınlaştırılmış ifadeleri) Yalınlaştırmada amaç, belli bir maliyet

Detaylı

İSTANBUL TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ LOJİK DEVRELERİ LABORATUVARI DENEY RAPORU : ARDIŞIL DEVRE TASARIMI

İSTANBUL TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ LOJİK DEVRELERİ LABORATUVARI DENEY RAPORU : ARDIŞIL DEVRE TASARIMI İSTANBUL TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ LOJİK DEVRELERİ LABORATUVARI DENEY RAPORU DENEYİN ADI : ARDIŞIL DEVRE TASARIMI RAPORU HAZIRLAYAN : BEYCAN KAHRAMAN GRUP NO : Ç3 Toplam 5( beş

Detaylı

Bölüm 7 Ardışıl Lojik Devreler

Bölüm 7 Ardışıl Lojik Devreler Bölüm 7 Ardışıl Lojik Devreler DENEY 7- Flip-Floplar DENEYİN AMACI. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop türlerinin

Detaylı

MANTIK DEVRELERİ HALL, 2002) (SAYISAL TASARIM, ÇEVİRİ, LITERATUR YAYINCILIK) DIGITAL DESIGN PRICIPLES & PRACTICES (3. EDITION, PRENTICE HALL, 2001)

MANTIK DEVRELERİ HALL, 2002) (SAYISAL TASARIM, ÇEVİRİ, LITERATUR YAYINCILIK) DIGITAL DESIGN PRICIPLES & PRACTICES (3. EDITION, PRENTICE HALL, 2001) MANTIK DEVRELERİ DERSİN AMACI: SAYISAL LOJİK DEVRELERE İLİŞKİN KAPSAMLI BİLGİ SUNMAK. DERSİ ALAN ÖĞRENCİLER KOMBİNASYONEL DEVRE, ARDIŞIL DEVRE VE ALGORİTMİK DURUM MAKİNALARI TASARLAYACAK VE ÇÖZÜMLEMESİNİ

Detaylı

DENEY 4-1 Kodlayıcı Devreler

DENEY 4-1 Kodlayıcı Devreler DENEY 4-1 Kodlayıcı Devreler DENEYİN AMACI 1. Kodlayıcı devrelerin çalışma prensibini anlamak. GENEL BİLGİLER Kodlayıcı, bir ya da daha fazla girişi alıp, belirli bir çıkış kodu üreten kombinasyonel bir

Detaylı

Bir devrede bellek elemanı olarak kullanılmak üzere tutucuları inceledik.

Bir devrede bellek elemanı olarak kullanılmak üzere tutucuları inceledik. Flip-Flop Bir devrede bellek elemanı olarak kullanılmak üzere tutucuları inceledik. Tutucular bazı problemlere sahiptir: Tutucuyu ne zaman enable yapacağımızı bilmeliyiz. Tutucuyu çabucak devredışı bırakabilmeliyiz

Detaylı

DENEY 3a- Yarım Toplayıcı ve Tam Toplayıcı Devresi

DENEY 3a- Yarım Toplayıcı ve Tam Toplayıcı Devresi DENEY 3a- Yarım Toplayıcı ve Tam Toplayıcı Devresi DENEYİN AMACI 1. Aritmetik birimdeki yarım ve tam toplayıcıların karakteristiklerini anlamak. GENEL BİLGİLER Toplama devreleri, Yarım Toplayıcı (YT) ve

Detaylı

DENEY 3-1 Kodlayıcı Devreler

DENEY 3-1 Kodlayıcı Devreler DENEY 3-1 Kodlayıcı Devreler DENEYİN AMACI 1. Kodlayıcı devrelerin çalışma prensibini anlamak. GENEL BİLGİLER Kodlayıcı, bir ya da daha fazla girişi alıp, belirli bir çıkış kodu üreten kombinasyonel bir

Detaylı

NESNE YÖNELİMLİ PROGRAMLAMA HAFTA # 6. Yrd.Doç.Dr.Hacer Karacan

NESNE YÖNELİMLİ PROGRAMLAMA HAFTA # 6. Yrd.Doç.Dr.Hacer Karacan NESNE YÖNELİMLİ PROGRAMLAMA HAFTA # 6 Yrd.Doç.Dr.Hacer Karacan İçerik UML Yapı Diyagramları Eylem Diyagramları Etkileşim Diyagramları UML Diyagramlar UML görsel olarak modelleme için birçok diyagrama sahiptir.

Detaylı

Boole Cebri. (Boolean Algebra)

Boole Cebri. (Boolean Algebra) Boole Cebri (Boolean Algebra) 3 temel işlem bulunmaktadır: Boole Cebri İşlemleri İşlem: VE (AND) VEYA (OR) TÜMLEME (NOT) İfadesi: xy, x y x + y x Doğruluk tablosu: x y xy 0 0 0 x y x+y 0 0 0 x x 0 1 0

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ Yrd. Doç. Dr. Mustafa Hikmet Bilgehan UÇAR 1 5. HAFTA BİLEŞİK MANTIK DEVRELERİ (COMBINATIONAL LOGIC) Veri Seçiciler (Multiplexer)

Detaylı

ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 2

ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 2 ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 2 DENEYİN ADI: LOJİK FONKSİYONLARIN SADECE TEK TİP KAPILARLA (SADECE NAND (VEDEĞİL), SADECE NOR (VEYADEĞİL)) GERÇEKLENMESİ VE ARİTMETİK İŞLEM DEVRELERİ

Detaylı

SAYISAL MANTIK LAB. PROJELERİ

SAYISAL MANTIK LAB. PROJELERİ 1. 8 bitlik Okunur Yazılır Bellek (RAM) Her biri ayrı adreslenmiş 8 adet D tipi flip-flop kullanılabilir. RAM'lerde okuma ve yazma işlemleri CS (Chip Select), RD (Read), WR (Write) kontrol sinyalleri ile

Detaylı

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü MANTIK DEVRELERİ TASARIMI LABORATUVARI DENEY FÖYLERİ 2018 Deney 1: MANTIK KAPILARI VE

Detaylı

yaratırdı), sayma dizisi içinde, bir bit geçişini tetiklemek için kullanılabilecek, bazı diğer biçim düzenleri bulmak zorundayız:

yaratırdı), sayma dizisi içinde, bir bit geçişini tetiklemek için kullanılabilecek, bazı diğer biçim düzenleri bulmak zorundayız: Eşzamanlı Sayaçlar Bir eşzamanlı sayacın çıktı bit'leri, eşzamansız sayacın aksine, dalgacıklanma olmadan anlık durum değiştirirler. J-K ikidurumluluardan böyle bir sayaç devresi yapmanın tek yolu bütün

Detaylı

BMT 101 Algoritma ve Programlama I 3. Hafta. Yük. Müh. Köksal GÜNDOĞDU 1

BMT 101 Algoritma ve Programlama I 3. Hafta. Yük. Müh. Köksal GÜNDOĞDU 1 BMT 101 Algoritma ve Programlama I 3. Hafta Yük. Müh. Köksal GÜNDOĞDU 1 Akış Diyagramları ve Sözde Kodlar Yük. Müh. Köksal GÜNDOĞDU 2 Sözde Kodlar (pseudo-code) Yük. Müh. Köksal GÜNDOĞDU 3 Sözde Kod Sözde

Detaylı

Bölüm 8 Ardışıl Lojik Devre Uygulamaları

Bölüm 8 Ardışıl Lojik Devre Uygulamaları Bölüm 8 Ardışıl Lojik Devre Uygulamaları DENEY 8-1 Kayan LED Kontrolü DENEYİN AMACI 1. Kayan LED kontrol devresinin çalışma prensibini anlamak. 2. Bir kayan LED kontrol devresi gerçekleştirmek ve çalıştırmak.

Detaylı

Deney 6: Ardışıl Devre Analizi

Deney 6: Ardışıl Devre Analizi Deney 6: Ardışıl Devre Analizi Genel Bilgiler: Lojik devre derslerinde de görüldüğü gibi bir ardışıl devrenin analizi matematiksel model, durum tablosu veya durum diyagramı yardımıyla üç farklı biçimde

Detaylı

EEM122SAYISAL MANTIK SAYICILAR. Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol

EEM122SAYISAL MANTIK SAYICILAR. Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol EEM122SAYISAL MANTIK BÖLÜM 6: KAYDEDİCİLER VE SAYICILAR Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol KAYDEDİCİLER VE SAYICILAR Flip-flopkullanan devreler fonksiyonlarına göre iki guruba

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ 1 7. HAFTA Flip-Floplar RS Flip Flop, Tetiklemeli RS Flip Flop, JK Flip Flop, D Tipi Flip Flop, T Tipi Flip Flop Tetikleme

Detaylı

7.Yazmaçlar (Registers), Sayıcılar (Counters)

7.Yazmaçlar (Registers), Sayıcılar (Counters) 7.Yazmaçlar (Registers), Sayıcılar (Counters) 7..Yazmaçlar Paralel Yüklemeli Yazmaçlar Ötelemeli Yazmaçlar 7.2.Sayıcılar Đkili Asenkron Sayıcılar (Binary Ripple Counter) Đkili Kodlanmış Onlu Asenkron Sayıcı

Detaylı

İKİLİ SAYILAR VE ARİTMETİK İŞLEMLER

İKİLİ SAYILAR VE ARİTMETİK İŞLEMLER İKİLİ SAYILAR VE ARİTMETİK İŞLEMLER DENEY 3 GİRİŞ Bu deneyde kurulacak devreler ile işaretsiz ve işaretli ikili sayılar üzerinde aritmetik işlemler yapılacak; işaret, elde, borç, taşma kavramları incelenecektir.

Detaylı

DENEY 5: KOD DÖNÜŞTÜRÜCÜLERİN TASARIMI

DENEY 5: KOD DÖNÜŞTÜRÜCÜLERİN TASARIMI DENEY 5: KOD DÖNÜŞTÜRÜCÜLERİN TASARIMI 1 Amaç Gray Kod dan İkili Kod a dönüştürücü tasarlamak ve gerçekleştirmek İkili Kod'dan 7-Bölmeli Gösterge ye (7-Segment Display) dönüştürücü tasarlamak ve gerçekleştirmek.

Detaylı

TBİL-405 Mikroişlemci Sistemleri Bölüm 2 1- % %01010 işleminin sonucu hangisidir? % %11000 %10001 %10101 %00011

TBİL-405 Mikroişlemci Sistemleri Bölüm 2 1- % %01010 işleminin sonucu hangisidir? % %11000 %10001 %10101 %00011 TBİL-405 Mikroişlemci Sistemleri Bölüm 2 1- %11010 - %01010 işleminin sonucu hangisidir? % 10000 %11000 %10001 %10101 %00011 2- %0101 1100 sayısının 1 e tümleyeni hangisidir? % 1010 0111 %11010 0011 %1010

Detaylı

Bir devrede bellek elemanı olarak kullanılmak üzere latch leri inceledik.

Bir devrede bellek elemanı olarak kullanılmak üzere latch leri inceledik. Flip-Flop lar Bir devrede bellek elemanı olarak kullanılmak üzere latch leri inceledik. Latch ler bazı problemlere sahiptir: Latch i ne zaman enable yapacağımızı bilmeliyiz. Latch i çabucak devredışı bırakabilmeliyiz

Detaylı

Önemli noktalar. Paradigma Nesnelere Giriş Mesajlar / Ara bağlantılar Bilgi Gizleme (Information Hiding ) Sınıflar(Classes) Kalıtım/Inheritance

Önemli noktalar. Paradigma Nesnelere Giriş Mesajlar / Ara bağlantılar Bilgi Gizleme (Information Hiding ) Sınıflar(Classes) Kalıtım/Inheritance Önemli noktalar Paradigma Nesnelere Giriş Mesajlar / Ara bağlantılar Bilgi Gizleme (Information Hiding ) Sınıflar(Classes) Kalıtım/Inheritance public class Test { // çalışır İnsan insan = new Çiçekçi();

Detaylı

SAYICILAR (COUNTERS) ASENKRON SAYICILAR 2 BİT ASENKRON SAYICI

SAYICILAR (COUNTERS) ASENKRON SAYICILAR 2 BİT ASENKRON SAYICI SAYIILAR (OUNTERS) Sayıcılar sayısal elektroniğin temel devreleridir. Sayıcılar istenilen aralıkta her saat darbesinde ileri veya geri doğru sayma yaparlar. Sayıcılar flip-flop kullanılarak yapılır, kullanılan

Detaylı

Deney 2: Flip-Floplar

Deney 2: Flip-Floplar Deney 2: Flip-Floplar Bu deneyde, çeşitli flip-flop devreleri kurulacak ve incelenecektir. Kullanılan Elemanlar 1 x 74HC00 (NAND kapısı) 1 x 74HC73 (JK flip-flop) 1 x 74HC74 (D flip-flop) 4 x 4,7 kohm

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 6. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar KARNO HARITALARI İki ve Üç değişkenli Karno Haritaları Dört değişkenli Karno Haritaları Beş değişkenli

Detaylı

Mantık Devreleri Laboratuarı

Mantık Devreleri Laboratuarı 2013 2014 Mantık Devreleri Laboratuarı Ders Sorumlusu: Prof. Dr. Mehmet AKBABA Laboratuar Sorumlusu: Emrullah SONUÇ İÇİNDEKİLER Deney 1: 'DEĞİL', 'VE', 'VEYA', 'VE DEĞİL', 'VEYA DEĞİL' KAPILARI... 3 1.0.

Detaylı

Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü

Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü 1 BİLGİSAYAR MİMARİSİ Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü http:// http:// Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/

Detaylı

DENEY 1 : TTL ve CMOS KAPI KARAKTERİSTİKLERİ

DENEY 1 : TTL ve CMOS KAPI KARAKTERİSTİKLERİ DENEY 1 : TTL ve CMOS KAPI KARAKTERİSTİKLERİ Genel Açıklamalar : Bir lojik kapının temel karakteristikleri, tümdevrelere ait olan giriş/çıkış seviye0/seviye1 gerilim ve akım değerlerinin yanı sıra propagasyon

Detaylı

ARDIŞIL DİYAGRAM YAPI DİYAGRAMI. Sistem Analizi ve Tasarımı Dersi

ARDIŞIL DİYAGRAM YAPI DİYAGRAMI. Sistem Analizi ve Tasarımı Dersi ARDIŞIL DİYAGRAM YAPI DİYAGRAMI Sistem Analizi ve Tasarımı Dersi İçindekiler Ardışıl Diyagram Nedir ve Neden Kullanılır... 3 Ardışıl Diyagram Elemanları... 3 MS Visio ile Ardışıl Diyagram Çizimi... 5 Violet

Detaylı

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9 İÇİNDEKİLER BÖLÜM 1 TEMEL LOJİK KAPI DENEYLERİ 1-1 Lojik ve Anahtara Giriş 1 1-2 Lojik Kapı Devreleri... 9 a. Diyot Lojiği (DL) devresi b. Direnç-Transistor Lojiği (RTL) devresi c. Diyot-Transistor Lojiği

Detaylı

SAYISAL SİSTEMLER LABORATUVARI DENEYLERİ

SAYISAL SİSTEMLER LABORATUVARI DENEYLERİ SAYISAL SİSTEMLER LABORATUVARI DENEYLERİ Prof. Dr. Avni Morgül İÇİNDEKİLER ÖNSÖZ LABORATUVAR KURALLARI ii iii. Deney: LOJİK KAPILAR 2. Deney: LOJİK KAPILAR İLE TASARIM 6 3. Deney: YARIM VE TAM TOPLAMA

Detaylı

BİL 264 Mantıksal Devre Tasarımı ELE 263 Sayısal Sistem Tasarımı 2014 2015 Öğretim Yılı Yaz Dönemi 2. Ara Sınav Adı Soyadı Öğrenci Numarası Bölümü

BİL 264 Mantıksal Devre Tasarımı ELE 263 Sayısal Sistem Tasarımı 2014 2015 Öğretim Yılı Yaz Dönemi 2. Ara Sınav Adı Soyadı Öğrenci Numarası Bölümü TOBB Ekonomi ve Teknoloji Üniversitesi Bilgisayar Mühendisliği Bölümü Elektrik Elektronik Mühendisliği Bölümü BİL 264 Mantıksal Devre Tasarımı ELE 263 Sayısal Sistem Tasarımı 2014 2015 Öğretim Yılı Yaz

Detaylı

BÖLÜM 9 - SENKRON SIRALI / ARDIŞIL MANTIK DEVRELERİ (SYNCHRONOUS SEQUENTİAL LOGİC)

BÖLÜM 9 - SENKRON SIRALI / ARDIŞIL MANTIK DEVRELERİ (SYNCHRONOUS SEQUENTİAL LOGİC) SAYISAL TASARIM-I 12. 13. 14. HAFTA BÖLÜM 9 - SENKRON SIRALI / ARDIŞIL MANTIK DEVRELERİ (SYNCHRONOUS SEQUENTİAL LOGİC) İÇERİK: Ardışıl Devrelerin Analizi Durum Geçiş Şeması Yöntemi Durum Geçiş Tabloları

Detaylı

25. Aşağıdaki çıkarma işlemlerini doğrudan çıkarma yöntemi ile yapınız.

25. Aşağıdaki çıkarma işlemlerini doğrudan çıkarma yöntemi ile yapınız. BÖLÜM. Büyüklüklerin genel özellikleri nelerdir? 2. Analog büyüklük, analog işaret, analog sistem ve analog gösterge terimlerini açıklayınız. 3. Analog sisteme etrafınızdaki veya günlük hayatta kullandığınız

Detaylı

SAYISAL DEVRELER. İTÜ Bilgisayar Mühendisliği Bölümündeki donanım derslerinin bağlantıları

SAYISAL DEVRELER. İTÜ Bilgisayar Mühendisliği Bölümündeki donanım derslerinin bağlantıları SAYISAL DEVRELER Doç.Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü Sayısal Devreler Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/

Detaylı

1 İ.T.Ü. Elektrik Elektronik Fakültesi Elektronik Mühendisliği Programı Devreler ve Sistemler Anabilim Dalı

1 İ.T.Ü. Elektrik Elektronik Fakültesi Elektronik Mühendisliği Programı Devreler ve Sistemler Anabilim Dalı DENEY 1 : TTL ve CMOS KAPI KARAKTERİSTİKLERİ Genel Açıklamalar : Bir lojik kapının temel karakteristikleri, tümdevrelere ait giriş/çıkış seviye0/seviye1 gerilim ve akım değerleri, propagasyon gecikme süreleri,

Detaylı

3.3. İki Tabanlı Sayı Sisteminde Dört İşlem

3.3. İki Tabanlı Sayı Sisteminde Dört İşlem 3.3. İki Tabanlı Sayı Sisteminde Dört İşlem A + B = 2 0 2 1 (Elde) A * B = Sonuç A B = 2 0 2 1 (Borç) A / B = Sonuç 0 + 0 = 0 0 0 * 0 = 0 0 0 = 0 0 0 / 0 = 0 0 + 1 = 1 0 0 * 1 = 0 0 1 = 1 1 0 / 1 = 0 1

Detaylı

Bu deney çalışmasında kombinasyonel lojik devrelerden decoder incelenecektir.

Bu deney çalışmasında kombinasyonel lojik devrelerden decoder incelenecektir. 4.1 Ön Çalışması Deney çalışmasında yapılacak uygulamaların benzetimlerini yaparak, sonuçlarını ön çalışma raporu olarak hazırlayınız. 4.2 Deneyin Amacı MSI lojik elemanları yardımıyla kombinasyonel lojik

Detaylı

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits)

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits) SE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates nd Logic Circuits) Sakarya Üniversitesi Lojik Kapılar - maçlar Lojik kapıları ve lojik devreleri tanıtmak Temel işlemler olarak VE,

Detaylı

Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS

Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS DERS BİLGİLERİ Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS Sayısal Lojik Tasarımı BIL281 3 5+0 5 6 Ön Koşul Dersleri Yok Dersin Dili Dersin Seviyesi Dersin Türü Türkçe Lisans Zorunlu / Yüz Yüze

Detaylı

DESTEK DOKÜMANI. Tablolu Malzeme Sınıfları

DESTEK DOKÜMANI. Tablolu Malzeme Sınıfları Tablolu Malzeme Sınıfları Malzeme Sınıfı; malzemelerin nitel ve nicel özelliklerine göre (renk,boy,beden,ebat,aksesuar,vb...) gruplanması ile oluşturulan yapılardır. Malzemelerin ortak özelliklerine göre

Detaylı

ELN1001 BİLGİSAYAR PROGRAMLAMA I

ELN1001 BİLGİSAYAR PROGRAMLAMA I ELN1001 BİLGİSAYAR PROGRAMLAMA I DEPOLAMA SINIFLARI DEĞİŞKEN MENZİLLERİ YİNELEMELİ FONKSİYONLAR Depolama Sınıfları Tanıtıcılar için şu ana kadar görülmüş olan özellikler: Ad Tip Boyut Değer Bunlara ilave

Detaylı

6. DİJİTAL / ANALOG VE ANALOG /DİJİTAL ÇEVİRİCİLER 1

6. DİJİTAL / ANALOG VE ANALOG /DİJİTAL ÇEVİRİCİLER 1 6. DİJİTAL / ANALOG VE ANALOG /DİJİTAL ÇEVİRİCİLER 1 Günümüzde kullanılan elektronik kontrol üniteleri analog ve dijital elektronik düzenlerinin birleşimi ile gerçekleşir. Gerilim, akım, direnç, frekans,

Detaylı

Bölüm 4 Aritmetik Devreler

Bölüm 4 Aritmetik Devreler Bölüm 4 Aritmetik Devreler DENEY 4- Aritmetik Lojik Ünite Devresi DENEYİN AMACI. Aritmetik lojik birimin (ALU) işlevlerini ve uygulamalarını anlamak. 2. 748 ALU tümdevresi ile aritmetik ve lojik işlemler

Detaylı

Veritabanı Yönetim Sistemleri (Veritabanı Tasarımı) Varlık İlişki Modeli Örnek Uygulamalar

Veritabanı Yönetim Sistemleri (Veritabanı Tasarımı) Varlık İlişki Modeli Örnek Uygulamalar Veritabanı Yönetim Sistemleri (Veritabanı Tasarımı) Varlık İlişki Modeli Örnek Uygulamalar Konular VİM (Varlık İlişki Modeli - ERM) ile Tasarım Örnek Uygulama Üniversite Bilgi Sistemi Örnek Uygulama Kitabevi

Detaylı

Bölüm 2 Kombinasyonel Lojik Devreleri

Bölüm 2 Kombinasyonel Lojik Devreleri Bölüm 2 Kombinasyonel Lojik Devreleri DENEY 2-1 VEYA DEĞİL Kapı Devresi DENEYİN AMACI 1. VEYA DEĞİL kapıları ile diğer lojik kapıların nasıl gerçekleştirildiğini anlamak. 2. VEYA DEĞİL kapıları ile DEĞİL

Detaylı

Örnek...2 : Örnek...3 : Örnek...1 : MANTIK 1. p: Bir yıl 265 gün 6 saattir. w w w. m a t b a z. c o m ÖNERMELER- BİLEŞİK ÖNERMELER

Örnek...2 : Örnek...3 : Örnek...1 : MANTIK 1. p: Bir yıl 265 gün 6 saattir. w w w. m a t b a z. c o m ÖNERMELER- BİLEŞİK ÖNERMELER Terim: Bir bilim dalı içerisinde konuşma dilinden farklı anlamı olan sözcüklerden her birine o bilim dalının bir terimi denir. Önermeler belirtilirler. p,q,r,s gibi harflerle Örneğin açı bir geometri terimi,

Detaylı

Kontrol Sistemlerinin Tasarımı

Kontrol Sistemlerinin Tasarımı Kontrol Sistemlerinin Tasarımı Kök Yer Eğrileri ile Tasarım II PD Denetleyici ve Faz İlerletici Dengeleyici 1 Ardarda (Kaskat) bağlantı kullanılarak geri beslemeli sistemin geçici rejim cevabının iyileştirilmesi

Detaylı

YAZILIM MODELLEME VE TASARIM

YAZILIM MODELLEME VE TASARIM YAZILIM MODELLEME VE TASARIM «Kullanım Senaryoları» Özer Çelik Matematik-Bilgisayar Bölümü Kullanım Senaryoları (Use-Case Model) İsteklerin anlaşılmasını ve ifade edilmesini sağlayan bir yöntemdir. Özellikle

Detaylı

Mühendislik Mekaniği Statik. Yrd.Doç.Dr. Akın Ataş

Mühendislik Mekaniği Statik. Yrd.Doç.Dr. Akın Ataş Mühendislik Mekaniği Statik Yrd.Doç.Dr. Akın Ataş Bölüm 7 İç Kuvvetler Kaynak: Mühendislik Mekaniği: Statik, R. C. Hibbeler, S. C. Fan, Çevirenler: A. Soyuçok, Ö. Soyuçok. 7. İç Kuvvetler Bu bölümde, bir

Detaylı

BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü

BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü Bu derste! Büyük, karmaşık sayısal sistemlerin tasarımı ele alınacaktır. ASM ve ASMD çizgeleri Tasarım Örnekleri

Detaylı

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir.

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir. Bilgisayar Mimarisi İkilik Kodlama ve Mantık Devreleri Yrd.Doç.Dr. Celal Murat KANDEMİR ESOGÜ Eğitim Fakültesi - BÖTE twitter.com/cmkandemir Kodlama Kodlama (Coding) : Bir nesneler kümesinin bir dizgi

Detaylı

B.Ç. / E.B. MİKROİŞLEMCİLER

B.Ç. / E.B. MİKROİŞLEMCİLER 1 MİKROİŞLEMCİLER RESET Girişi ve DEVRESİ Program herhangi bir nedenle kilitlenirse ya da program yeniden (baştan) çalıştırılmak istenirse dışarıdan PIC i reset yapmak gerekir. Aslında PIC in içinde besleme

Detaylı

BÖLÜM 8 MANDAL(LATCH) VE FLİP-FLOPLAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır

BÖLÜM 8 MANDAL(LATCH) VE FLİP-FLOPLAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır AYIAL ELETONİ BÖLÜM 8 MANAL(LATCH) VE FLİP-FLOPLA Bu bölümde aşağıdaki konular anlatılacaktır Mandallar(Latches),- Mandalı, Mandalı ontak sıçramasının mandallar yardımı ile engellenmesi Flip-Floplar,-

Detaylı

Ders İçerik Bilgisi. Karmaşık Sistemlerin Tek Bir Transfer Fonksiyonuna İndirgenmesi

Ders İçerik Bilgisi. Karmaşık Sistemlerin Tek Bir Transfer Fonksiyonuna İndirgenmesi Dr. Hakan TERZİOĞLU Ders İçerik Bilgisi Karmaşık Sistemlerin Tek Bir Transfer Fonksiyonuna İndirgenmesi 1. Blok Diyagramları İle (GeçenHafta) 2. İşaret Akış Diyagramları İle (Bu Hafta) Sadeleştirme yoluyla

Detaylı

Algoritmalar ve Programlama. Algoritma

Algoritmalar ve Programlama. Algoritma Algoritmalar ve Programlama Algoritma Algoritma Bir sorunu / problemi çözmek veya belirli bir amaca ulaşmak için gerekli olan sıralı mantıksal adımların tümüne algoritma denir. Algoritma bir sorunun çözümü

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ 1 8. HAFTA ARDIŞIL DEVRE TASARIMLARI SAYICILAR ASENKRON SAYICILAR SENKRON SAYICILAR 2 ARDIŞIL DEVRELER Bileşik devrelere geri

Detaylı

Saklayıcı (veya Yazmaç) (Register)

Saklayıcı (veya Yazmaç) (Register) Saklayıcı (veya Yazmaç) (Register) Genel bir ardışıl devre: Saklayıcılar Ardışıl devre analiz ve sentezi için iyi bir örnektir. Ayrıca daha büyük çaplı ardışıl devrelerin tasarımında kullanılabilirler.

Detaylı

OTOMATİK KONTROL SİSTEMLERİ BLOK DİYAGRAM İNDİRGEME KURALLARI

OTOMATİK KONTROL SİSTEMLERİ BLOK DİYAGRAM İNDİRGEME KURALLARI OTOMATİK KONTROL SİSTEMLERİ BLOK DİYAGRAM İNDİRGEME KURALLARI BLOK DİYAGRAM İNDİRGEME KURALLARI Örnek 9: Aşağıdaki açık çevrim blok diyagramının transfer fonksiyonunu bulunuz? 2 BLOK DİYAGRAM İNDİRGEME

Detaylı

Excel de çalışma alanı satır ve sütunlardan oluşur. Satırları rakamlar, sütunları ise harfler temsil eder. Excel çalışma sayfası üzerinde toplam

Excel de çalışma alanı satır ve sütunlardan oluşur. Satırları rakamlar, sütunları ise harfler temsil eder. Excel çalışma sayfası üzerinde toplam Microsoft Excel Microsoft Office paket programı ile bizlere sunulan Excel programı bir hesap tablosu programıdır. her türlü veriyi tablolar yada listeler halinde tutmak ve bu veriler üzerinde hesaplamalar

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 8. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar MULTIPLEXERS (VERİ SEÇİCİLER), ÜÇ DURUMLU BUFFERS, DECODERS (KOD ÇÖZÜCÜLER) BELLEK ELEMANLARI 2 8.2.

Detaylı

Fatih University- Faculty of Engineering- Electric and Electronic Dept.

Fatih University- Faculty of Engineering- Electric and Electronic Dept. Dijital Devre Tasarımı EEE122 A Ref. Morris MANO & Michael D. CILETTI DIGITAL DESIGN 4 th edition Fatih University- Faculty of Engineering- Electric and Electronic Dept. Chapter 3 Boole Fonksiyon Sadeleştirmesi

Detaylı

İzostatik Sistemlerin Hareketli Yüklere Göre Hesabı

İzostatik Sistemlerin Hareketli Yüklere Göre Hesabı İzostatik Sistemlerin Hareketli Yüklere Göre Hesabı Hareketli Yük Çeşitleri: a) I. tip hareketli yük: Sistemin tümünü veya bir bölümünü kaplayan, boyu değişken düzgün yayılı hareketli yüklerdir (insan,

Detaylı

BÖLÜM 3: AKIŞ DİYAGRAMLARI

BÖLÜM 3: AKIŞ DİYAGRAMLARI BÖLÜM 3: AKIŞ DİYAGRAMLARI Geliştirilecek olan yazılımın genel yapısının şematik gösterimine akış diyagramı (flowchart) adı verilir. Akış diyagramları, yazılımı oluşturacak program parçalarını ve bu parçaların

Detaylı

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Programlanabilir Lojik Basit Programlanabilir Lojik Cihazlar (Simple Programmable Logic Device - SPLD) ** PAL (Programmable

Detaylı

DERS NOTLARI. Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi

DERS NOTLARI. Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi DERS NOTLARI Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi DERS-5 14.03.2016 Karnaugh Haritaları Çarpımlar toplamı yada toplamlar çarpımı formundaki lojikifadelerin sadeleştirilmesine

Detaylı

GENEL BİLGİ: GEREKLİ MALZEMELER:

GENEL BİLGİ: GEREKLİ MALZEMELER: GENEL BİLGİ: Ondalık haneler için ikili kodlar en az dört bit gerektirmektedir. Dört veya daha fazla bitin olası on ayrı birleşimle düzenlenmesiyle çok çeşitli kodlar elde edilebilir. BCD (ikili kodlu

Detaylı

Fatih University- Faculty of Engineering- Electric and Electronic Dept.

Fatih University- Faculty of Engineering- Electric and Electronic Dept. SAYISAL DEVRE TASARIMI EEM Ref. Morris MANO & Michael D. CILETTI SAYISAL TASARIM 5. Baskı Fatih University- Faculty of Engineering- Electric and Electronic Dept. Birleşik Mantık Tanımı X{x, x, x, x n,}}

Detaylı