GRAFİK SİSTEMLERİ İÇİN FPGA CİHAZLARINDA ÇALIŞMAK ÜZERE TASARLANMIŞ MATRİS ÇARPIM MOTORU

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "GRAFİK SİSTEMLERİ İÇİN FPGA CİHAZLARINDA ÇALIŞMAK ÜZERE TASARLANMIŞ MATRİS ÇARPIM MOTORU"

Transkript

1 s , 28 Üere Tasarlanmış Matris Çarpım Motoru GRAFİK SİSTEMLERİ İÇİN FPGA CİHAZLARINDA ÇALIŞMAK ÜZERE TASARLANMIŞ MATRİS ÇARPIM MOTORU İbrahim ŞAHİN 1 ve İsmail KOYUNCU 2 1 D.Ü. Teknik Eğitim Fakültesi, Elektronik ve Bilgisaar Eğitimi Bölümü, 8162, Düce. 2 D.Ü. Düce Meslek Yüksekokulu, Endüstriel Otomason Bölümü, 811, Düce. ÖZET Bilgisaar grafiklerinde sıklıkla kullanılan üç boutlu (3B) dönüşümlerde, animason sahnesindeki dönüşüme uğraan nesne saısı ve bu nesneleri tanımlamada kullanılan nokta saısı arttıkça dönüşümü hesaplamak için gereken CPU amanı katlanarak artmaktadır. Sonuçta, kısa bir animason filminin hesaplanması uun aman almakta ve eğer animason gerçek amanlı bir animason ise hesaplanması imkansı hale gelmektedir. Bu çalışmada, FPGA (Alan Programlanabilir Kapı Diileri) çiplerini kullanarak üç boutlu dönüşümleri hılandırmak için kesirli saı tabanlı donanım modülü tasarlanmıştır. Tasarlanan modül gerçek veri üerinde işlemler apılarak test edilmiş ve modülün ürettiği sonuçların doğrulanması apılmıştır. Modülün veri işleme hıı değişik bilgisaarlarla karşılaştırılmıştır. Karşılaştırma sonuçları göstermiştir ki, tasarlanan modül kullanılarak üç boutlu grafik dönüşümleri PC lere göre 4 kata kadar daha hılı gerçekleştirilebilmektedir. Anahtar Kelimeler FPGA, Bilgisaar Grafikleri, 3B Dönüşüm, Donanım Modülü. A MATRIX MULTIPLICATION ENGINE FOR GRAPHIC SYSTEMS DESIGNED TO RUN ON FPGA DEVICES ABSTRACT In Computer Graphics, as the number of objects in an animation scene and the number of vertices used to define each object increase, calculations of the three dimensional (3D) transformations require huge amount of CPU time. As a result, calculation of an animation scane could take a long time. Moreover, if it is a real time animation, it becomes impossible to calculate transformations in real time. In this stud, a floating point based hardware module has been designed to speed up three dimensional transformations using FPGA (Field Programmable Gate Arra) chips. The module was tested and functional verification of the module is done b comparing of results produced b module and the results generated b general purpose computers (PCs) for the same set of input data. Module s data processing speed was compared to various PCs. The results showed that using the module, 3D graphic transformations can be speeded up b factor of up to 4. Kewords FPGA, Computer Graphics, 3D Transformation, Hardware Module. 1. GİRİŞ Üç boutlu (3B) grafik dönüşümler, grafik paketlerinin ve grafik ugulama programlarının vageçilme parçalarıdır. Bu dönüşümler binlerce noktadan oluşan karmaşık şekillere ugulandığında, grafik programları işlemci gücünü önemli miktarda kullanmaktadır. Öellikle animason işlemlerinde, animason sahnesindeki nesne saısı ve bu nesneleri tanımlamada kullanılan nokta saısı arttıkça, animasonun hesaplanması saatler alabilmektedir. Örneğin tipik bir animason filminin bir sahnesinde onlarca grafik nesne bulunabilmekte ve nesneleri tanımlamak için ü binin üerinde nokta kullanılabilmektedir [1]. Böle bir 61

2 s , 28 Üere Tasarlanmış Matris Çarpım Motoru animason sahnesinin DVD standardına göre saniede 24 defa hesaplanması gerekmektedir. Bunun anlamı, sahnei tanımlamada kullanılan ü binin üerindeki noktanın eni değerlerinin saniede 24 defa hesaplanması gerektiğidir. Üç boutlu animasonda bir noktanın eni değerinin hesaplanabilmesi için 4x4 ile 4x1 lik iki matrisin çarpımının gerçekleştirilmesi sö konusudur. Yü bin noktalı bir sahnenin 1 sanielik animasonunda toplam 38.4 milon çarpma ve 28.8 milon toplama işleminin apılması gerekmektedir. Bu durumda genel amaçlı bilgisaarlar etersi kalmakta ve animasonun hesaplanması çok uun süreler almaktadır. Bu duruma çöüm olarak değişik aklaşımlar geliştirilmiştir [2]. Bu aklaşımlardan baıları; gelişmiş grafik kartlarının, grafik işlemler için tasarlanmış öel amaçlı bilgisaarların [3], daha hılı işlemcilerin a da paralel işlemcilerin kullanılması olarak öetlenebilir. Bu sunulan çöümlerin baı deavantajları bulunmaktadır. Örneğin süper bilgisaarlar oldukça malietlidirler. Normal bilgisaarlar, grafik işlemlerinin gerçekleştirilmesinde eterli performans gösterememektedirler. Öel olarak tasarlanan kartlarda ise herhangi bir tasarım vea üretim hatası telafi edilememekle birlikte eniden tasarım süreci oldukça uun aman kabına sebep olmaktadır. Bu çalışmada ukarıda saılan öntemlere bir alternatif olarak üç boutlu grafik dönüşümleri hılandırmak amacıla, FPGA çipleri üerinde çalışabilecek kesirli saı tabanlı bir donanım modülü tasarlanmıştır. Bölelikle 3B grafik dönüşümlerin, daha ucu ve daha hılı hesaplanması hedeflenmiştir. Tasarlanan modül, gerçek veri üerinde işlemler apılarak test edilmiş ve modülün ürettiği sonuçların doğrulanması apılmıştır. Anı veriler değişik öellikteki bilgisaarlarla da işlenerek modülün veri işleme hıı genel amaçlı bilgisaarlarla karşılaştırılmıştır. Makalenin ikinci bölümünde FPGA çipleri ve RC sistemler, bilgisaar grafikleri ile üç boutlu dönüşümler kısaca öetlenmiştir. Üçüncü bölümde, tasarlanan modül detalarıla anlatılmıştır. Dördüncü bölümde, apılan test çalışmaları ve bu çalışmalardan elde edilen sonuçlar sunulmuştur. Beşinci bölümde ise sonuçlar değerlendirilmiştir. 2. ÖN BİLGİ 2.1. FPGA Çipleri FPGA çipleri programlanabilir tümdevrelerdir. Tasarımcının ihtiacı olan mantıksal fonksionları gerçekleştirebilmesi amacıla, kullanıldığı erde programlanabilir olarak üretilirler. Kullanıcının tasarladığı mantıksal devree göre, mantıksal bloklar, aralarındaki bağlantılar ve giriş/çıkış blokları programlanır. Şekil 1 de genel apısı verilen FPGA çipi, programlanabilir üç bileşenden oluşur a. Yapılandırılabilir Mantıksal Bloklar (Configurable Logic Blocks (CLB)) CLB blokları, mantıksal fonksionların oluşturulabildiği Look-up table (LUT) ve Flip-Flop lardan oluşmaktadır. CLB, kullanıcının oluşturmak istediği mantıksal devre için fonksionel elemanlar sağlar. CLB mimarisinin esnekliği ve simetrisi, ugulamaların kolalıkla erleştirilmesine ve önlendirilmesine olanak tanır [4]. b. Giriş Çıkış Blokları (Input/Output Blocks (IOB)) IOB ler çipin iç sinal hatları ile çipin pinleri arasında programlanabilir bir arabirim sağlarlar. IOB ler saesinde FPGA pinleri giriş, çıkış a da çift önlü olarak programlanabilir. FPGA çipinin türüne göre bir çipteki IOB saısı (dolaısıla pin saısı) 1 li saılara ulaşabilmektedir. c. Ara Bağlantılar (Interconnections) Bu birimler hem CLB ler arasında hem de CLB ler ile IOB ler arasında bağlantıları apılandırmada kullanılırlar. Programlanabilir olduklarından çok esnek bir apıa sahiptirler. I/O Block Giriş Çıkış Blokları I/O Block I/O Block I/O Block Şekil 1. FPGA genel apısı [5]. Programlanabilir Mantıksal Bloklar Ara Kanallar (Bağlantılar) 2.2. FPGA Tabanlı Öel Amaçlı Bilgisaarlar FPGA tabanlı Öel Amaçlı Bilgisaarlar (FPGA-based Custom Computing Machines (F-CCMs)), donanım ve aılımı bir araa getiren öel veri işleme platformlarıdır [6]. Genellikle bir F-CCM (Reconfigurable Computing (RC) sistem olarak da bilinir) bir adet genel amaçlı bilgisaar ve bu bilgisaara bağlı üerinde bir a da daha fala FPGA ve hafıa çipleri bulunduran elektronik karttan oluşur [7, 8]. Bu sistemler genel amaçlı işlemcilerin sunduğu programlanabilme öelliği ile FPGA çiplerinin sağladığı hı avantajının bir araa getirildiği sistemlerdir [9]. Ugulama programları F- CCM lere uarlanırken, programın üksek işlemci gücü gerektiren bölümleri öel olarak tasarlanmış donanım modülü kullanılarak FPGA çipleri üerinde çalıştırılır ve bu saede programın daha hılı çalışması sağlanır. İi tasarlanmış bir F-CCM ve donanım modülü saesinde, ugulama programlarının oğun CPU gerektiren bölümlerini 1 kata kadar hılandırmak mümkündür [1, 5]. Hali haırda çeşitli grafik işleme algoritmaları ve optimiason algoritmaları dahil olmak üere bir çok algoritma F-CCM lere ugulanmış ve genel amaçlı bilgisaarlarla karşılaştırıldığında, F-CCM lerin şu ana kadar tespit edilen en düşük çalışma amanını verdiği görülmüştür [1, 11]. Birçok firma RC sistemlerde 62

3 s , 28 Üere Tasarlanmış Matris Çarpım Motoru kullanılmak üere FPGA kartları tasarlamış ve piasaa sunmuştur. Şekil 2 te RC sistemlerin genel apısı görülmektedir. Bu apıda n adet FPGA çipi ve bunlara ait erel hafıalar bulunur. FPGA kartı bilgisaar ile veri iletişimini bir PCI ara üü üerinden apar. vageçilme parçalarıdır. Nesneler üerinde genel olarak öteleme, döndürme ve ölçeklendirme olmak üere temel bir kaç değişik dönüşüm vea bunların kombinasonu ugulanır. Host PC PCI Araüü Hafıa 1 Hafıa 2 Hafıa n FPGA 1 FPGA 2 FGPA n Şekil 2. RC sistem genel apısı. FPGA kartlarının kullanımı ise şu şekildedir İlk olarak, daha önceden tasarlanmış donanım modülünün apılandırma (configuration) bilgileri PCI olu üerinden FGPA çiplerine üklenir. Ardından, ine PCI üerinden, işlenecek veri kart üerindeki erel hafıa ünitelerine aktarılır. Bu aşamadan sonra FPGA çiplerine öel bir başlat sinali gönderilerek, çiplerin hafıadaki verii işlemesi sağlanır. Çipler bu verileri işlerken, ana bilgisaar diğer işlerine devam eder. Çipler işlemi bitirince, bilgisaara bir kesme sinali göndererek işlenmiş verinin haır olduğunu bildirir. Son olarak bilgisaar ine PCI üerinden FPGA kartı üerindeki hafıalara erişerek işlenmiş verii alır [7]. FPGA erel hafıası ile bilgisaar hafıası arasında hılı veri iletişimini gerçekleştirmek için DMA (Direct Memor Access-Doğrudan Hafıa Erişimi) kullanır Bilgisaar Grafikleri ve Üç Boutlu Dönüşümler Grafik tasarımlar ve animasonlar için çeşitli grafik paketleri (API-Application Programming Interface- Ugulama Programlama Araüü) geliştirilmiştir. Bu paketler genellikle grafik işlemleri erine getirebilmek için birçok fonksion içerirler. Bu grafik paketlerinden en agın olarak bilinenleri OpenGL (Graphic Librar) ve Direct X tir. Paket ile gelen fonksionlara C/C++ başta olmak üere birçok programlama dilinden erişim mümkündür. Bu paketlerle animasonların oluşturulabilmesi için nesnelerin 2 vea 3 boutlu ortamda matematiksel olarak noktalar, çigiler ve üeler olarak modellenmesi gerekmektedir. Basit bir nesnei oluşturmak için ülerce nokta tanımlanması gerekmektedir. Şekil 3 te noktaların tanımlanarak birleştirilmesile oluşturulmuş çeşitli şekiller verilmiştir. Grafik paketlerinde nesneler tanımlanırken, nesne üerinde bulunan noktaların karteen koordinat sistemindeki üç boutlu koordinat değerlerile (x,, ) tanımlanırlar. Üç boutlu (3B) grafik dönüşümler, grafik paketlerinin ve grafik ugulama programlarının Şekil 3. Nesnelerin noktalarla oluşturulması [4]. Bu dönüşümlerden üç boutlu döndürme ve ölçeklendirme işlemleri 3x3 ile 3x1 matris çarpımı olarak gerçekleştirilir. Fakat öteleme işlemi diğer işlemler gibi doğrusal bir işlem olmadığından matris çarpımı şeklinde ifade edileme. Bu işlemi de doğrusal hale getirebilmek için karteen koordinat sisteminde (x,, ) olarak tanımlanan nokta değerleri homojen koordinat sistemine çevrilerek bütün grafik dönüşümleri standart 4x4 ile 4x1 matris çarpımı haline getirilir. Bu dönüşüm işlemi sırasında nokta x,, ile birlikte bir dördüncü değer olarak w ile (x,,, w) olarak ifade edilir ( w olmak kadıla). w değeri olarak genellikle 1 alınır. Aşağıda öteleme, döndürme ve ölçeklendirme işlemleri parametrik ve matris çarpımı notasonunda verilmiştir. Öteleme işleminde tx, t ve t üç boutlu ortamda nesnenin her bouttaki öteleme miktarını, döndürme işleminde θ nesnenin dönme açısını, ölçeklendirme işleminde ise sx, s ve s nesnenin her bir bouttaki ölçeklendirme katsaısını belirtir. P dönüşüme uğraan noktanın orijinal koordinatı, P ise eni koordinatıdır [1, 4, 13]. x 1 = 1 x = 1 P = T ( t, t, t ) P cosθ sinθ x 1 1 Öteleme P = R( θ ) P sinθ cosθ Döndürme tx x t t x

4 s , 28 Üere Tasarlanmış Matris Çarpım Motoru P = S( s, s, s ) P x sx = 1 x s s Ölçeklendirme x 1 1 Yukarıdaki eşitliklerden de görüleceği üere dönüşüm işlemleri 4x4 ile 4x1 matris çarpımı halinde gerçekleştirilmektedir. Bunların dışında grafik paketlerinde, eğme (shearing), gölgelendirme (shadow) gibi dönüşümler ine 4x4 ile 4x1 matris çarpımı şeklinde gerçekleştirmektedir. Hatta bu dönüşümlerin kombinasonu da ine anı formatta olmaktadır. Bu şekillerden bir sahnede ülerce olabilir. Grafik paketleri bu matematiksel modeller üerinde ukarıda açıklanan grafik dönüşümleri ugulaarak animasonları oluşturur. Yani basit bir kamera hareketile ilgili bir animasonda, bütün nesnelerin sahnedeki eni görünümleri (nesneleri tanımlaan noktaların eni değerleri) bu dönüşümlerde verilen matris çarpımları olula hesaplanır. 3. ÜÇ BOYUTLU DÖNÜŞÜMLER İÇİN FPGA MODÜL TASARIMI Bu çalışmada kesirli saı tabanlı, üç boutlu homojen dönüşümler için FPGA sistemleri ile birlikte kullanılabilecek bir donanım modülü tasarlanmıştır. Modül sabit bir 4x4 matris ile bir dii 4x1 matris serisini çarparak eni bir dii 4x1 matris serisi oluşturacak şekilde düenlenmiştir. Modül -bit IEEE kesirli saı standardına ugun olarak bir donanım tanımlama dili olan VHDL de tasarlanmış ve Xilinx ISE 9.2 aracı kullanılarak değişik FPGA çipleri için sentelenmiştir Modülün Genel Yapısı Şekil 4 te tasarlanan modülün en üst sevie blok diagramı görülmektedir. Modül üerinde -bitlik veri giriş ve çıkış olları arı arı verilmiştir. Bunun sebebi modülün herhangi bir öel FPGA kartına göre tasarlanmamış olmasıdır. Modül kullanıcıları, kullanılacağı karta ugun bir hafıa ara üü aracılığıla veri giriş ve çıkış ollarını birleştirerek çift önlü tek bir veri olula hafıa ünitesine bağlantı apmalıdırlar. Modül -bitlik bir adres oluna sahiptir. Bu saede 4 GB lık bir hafıa alanını adresleme imkanı sunulmuştur. Reset, Basla ve Bitti sinalleri modül amanlaması ve modül ile modülün bağlı olduğu bilgisaar arasında senkroniasonu (hand-shaking) sağlamak için kullanılır. Hafıa ile senkroniasonu sağlamak ve veri okuma ama işlemlerini apabilmek için arıca hafıa kontrol sinalleri bulunmaktadır. Reset Başla Clock GirişYolu Matris Çarpım Modülü AdresYolu Bitti HafıaKontrolS ÇıkışYolu Şekil 4. Matris Çarpım modülü blok diagramı. Şekil 5 te matris çarpım modülünün ikinci sevie blok diagramı görülmektedir. Kontrol Ünitesi ve İşlem Ünitesi olmak üere, modül iki bölümde tasarlanmıştır. Kontrol Ünitesi gerekli amanlarda ihtiaç duulan kontrol sinallerini üreterek, hafıadan verilerin alınmasını, verilerin İşlem Ünitesi üerinde işlenerek sonuçların hafıaa geri aılmasını sağlar. İşlem Ünitesi ise kontrolörden aldığı sinallerle 4x4 ile 4x1 matris çarpımını apan donanımı içerir. Kontrol Ünitesi Kontrol Sinalleri GeriBesleme Sinalleri İşlem Ünitesi Şekil 5. Modülün ikinci sevie blok diagramı. Şekil 6 da İşlem Ünitesi nin blok diagramı görülmektedir. İşlem Ünitesi, Çekirdek ve Erişim Saaçları olmak üere iki bölüm olarak tasarlanmıştır. erişim kısmında üç adet saaç kullanılmıştır. NoktaSaacı (NS) dönüşüme uğraacak nokta saısının kontrolü içindir. Modül dönüşüm işlemine başlamadan önce, dönüşüme uğraacak nokta adedi bu aaca üklenir. Herbir nokta işlendikten sonra saacın değeri bir aaltılır. Saaca eklenen öel bir tasarım saesinde saaç içindeki değer sıfıra ulaştığında kontrol ünitesine Bitti sinali gönderilerek dönüşümün sonlanması sağlanır. KanakSaacı (KS) dönüşüme uğraacak noktaların hafıa adresini, HedefSaacı (HS) ise dönüşüm sonrasında oluşan eni nokta değerlerinin hafıada aılacağı adresi takip etmek için kullanılmıştır. Bu saaçların değeri dönüşüm işlemine başlamadan önce hafıadan okunur. Her bir nokta için x,, ve w olmak üere hafıada dört değer bulunduğundan, her bir noktanın işlenmesi sırasında KS ve HS nin değerleri dörder defa arttırılır. Bu bölümde er alan multiplexer, adres seçicidir. Kontrolörden gelen AdresSecici sinaline göre KS a da HS nin adres oluna aılmasını sağlar. Modül, dönüşüme uğraacak nokta değerlerini hafıadan alarak işledikten sonra ugun amanlama ile ürettiği sonuçları ine anı veri olu üerinden hafıa ünitesine göndermektedir. 64

5 s , 28 Üere Tasarlanmış Matris Çarpım Motoru Girişi NS KS HS Çekirdek Mux Bitti Adres Adres Seçici Şekil 6. İşlem Ünitesi blok diagramı. Satır Seçici 2 Girişi SR1 SR2 SR3 SR4 DR * Adım toplama ve çarpma üniteleri daha önceden tasarlanmış IEEE standardında işlem apan ünitelerdir. [5, 7, 8]. Bir dönüşüm işlemi 4 saat periodu bounca gerçekleşir. Dönüşüm işlemi sırasında her saat periodunda 4x4 dönüşüm matrisinin bir satırı ile 4x1 dönüşüme uğraan nokta matrisi çarpma ünitelerine gönderilir. 4 çarpma işlemi anı anda apılır ve çarpma sonuçları anı anda toplama ünitelerine ulaşır. Toplama ünitelerinde saılar toplandıktan sonra sıra ile hafıaa aılmak üere çıkışa gönderilirler. Sondaki gecikme ünitesi veri giriş çıkışının senkroniasonu için kullanılmıştır. 4x-bitlik SabitYaac lardan her birinin içinde 4 adet aaç bulunmakta ve herbiri içerisinde dönüşüm matrisinin bir sütunu tutulmaktadır. Şekil 8 de blok diagramı verilen SabitYaac ın çalışmasını her bir aaç için arı arı tasarlanmış 4-bitlik Oku ve SatirSecici sinalleri sağlamaktadır. Gelen verinin hangi aaca kadedileceğini Oku sinali, hangi aacın içindeki değerin çıkışa aktarılacağını ise SatirSecici sinali belirler. Oku 4 Girişi * Adım R1 R2 R3 R4 Mux * Adım * Adım + Adım + Adım + Adım Satır Seçici Şekil 8. SabitYaac blok diagramı. Dönüşüme uğraacak noktaların değerleri (x,,, w) her seferinde bir nokta olmak üere DeğişkenYaacı nda tutulur. Şekil 9 da DegiskenYaacı n blok diagramı görülmektedir. olundan gelen veriler 4-bitlik Oku sinali saesinde sıra ile R1...R4 aaçlarına aktarılırlar. DegiskenYaacı 4x = 128-bitlik veri çıkışına sahiptir. Bu saede aaçlarda tutulan 4 değer anı anda çıkışa aktarılabilmektedir. Girişi Gecikme Ünitesi R1 R2 R3 R4 Şekil 7. Modül çekirdek blok diagramı. Şekil 7 de çekirdeğin blok diagramı görülmektedir. Çekirdek, dört adet 4x-bitlik SabitYaac(SR1...SR4), bir adet 4x-bitlik DonusumYaac ı (DR), dört adet -bitlik kesirli saı çarpıcı ve üç adet -bitlik kesirli saı toplaıcıdan oluşmaktadır. -bitlik kesirli saı Şekil 9. DegiskenYaacı blok diagramı. 65

6 s , 28 Üere Tasarlanmış Matris Çarpım Motoru 3.2. Modül Kontrolörü ve Çalışması Şekil 1 da modül kontrolürünün çalışmasını gösteren durum diagramı verilmiştir. Kontrolör toplam 28 durum dan oluşan bir sonlu durum makinesi olarak tasarlanmıştır. Modül ilk çalışmaa başladığında Reset durum unda bekler. Bilgisaardan Basla sinalini aldığında, Reset durum undan çıkarak, hafıaa erişmek için sistem oluna istek sinali gönderir ve olun kendine tahsis edilmesini bekler. Yol tahsis edildikten Eğer SonucHair = 1 ise bu durum larda sonuç hafıaa aılır. Eğer SonucHair = ise durum lar boş geçilir. Bitti_D = 1 M_1 M_1 M_ M_11 L4 Reset Başla B_Bekle A1 A2 A16 A_N_S A_H_Y L17 L18 Bitti_D = Başla = 1 İşlem Döngüsü Başla = Mem_Bus_Grant = 1 Mem_Bus_Grant = -bitlik 16 adet Dönüşüm Matris lerinin okunması Nokta Saacı Ve Hedef Yaacı lerinin okunması D1 L3 D2 D3_L1 D4_L2 Eğer NS ise bu durum larda hafıadan okuma apılır. Eğer NS = ise bu durum larda hafiadan okuma apılma. bilgisini okur ve bunları, NS ve HS veri erişim saaçlarına kadeder. Bu işlemler toplam iki durum bounca apılır. Bu aşamadan sonra, modül bir döngüe girer ve döngünün her tekrarlanışında bir noktanın değerlerini hafıadan DegiskenYaacı na okur, SabitYaac ve DegiskenYaacı ndaki ugun elemanları ugun amanda çarpıp toplaarak eni değerleri hesaplar. Hesaplanan eni değerler, hafıada HedefSaacı nın gösterdiği ere aılır. Döngünün her tekrarlanışından sonra NoktaSaacı bir aaltılır. NoktaSaacı sıfıra ulaştığında ise modül döngüden çıkar. Kontrolör döngünün herbir tekrarlanışını on durum bounca gerçekleştirir. Döngüden çıkıldığında, kontrolör bilgisaara işlemin bittiğini belirtmek için bir kesme sinali gönderir ve bekleme konumuna geçer. Modül bekleme konumunda tekrar Reset sinali gelincee kadar kalır. Modül içinde kullanılan toplama ve çarpma üniteleri 8 periot kanallı olduğundan hafıadan okunan ilk değerin sonucu gecikme ünitesi ile birlikte toplam 3 saat darbesi sonra çıkışta görülmektedir. Gecikme ünitesi veri giriş çıkış işlemleri arasındaki senkroniasonun sağlanması için sonuçları 6 saat darbesi bounca bekletmekte kullanılır. Kontrolör işlem başladığı ilk 3 periot bounca çıkışa sonuç amasa da her 1 periotta bir veri setini hafıadan okur, okunan değerleri çarpma ve toplama ünitelerine işlenmek üere gönderir. Üniteler kanallı (pipelined) olarak tasarlandığından içlerinde anı anda 3 noktanın değerleri sıra ile işlerler. İlk 3 periottan sonra her 12 periotta bir noktanın değerleri hafıadan okunur, daha önceden okunmuş ve sonucu haır hale gelmiş başka bir noktanın değerleri hafıaa aılır. İşlenecek noktaların okunması bittiğinde (son noktanın değerleri hafıadan okunduktan sonra) kontrol ünitesi çarpma ve toplama üniteleri içinde kalan değerler hafıaa aılana kadar işleme devam eder. 4. DENEY DÜZENEKLERİ VE TEST SONUÇLARI Bu çalışmada tasarlanan modül, değişik FPGA çipleri için sentelenerek FPGA çip istatistikleri ve modülün aami saat frekansları incelenmiştir. Modülün belirlenen verii işleme süresi, ISE simülason programı [13] kullanılarak bulunmuş ve bu süre farklı PC lerin anı verii işleme süreleri ile karşılaştırılmıştır. SON Reset = Tablo 1. Denelerde kullanılan PC lerin öellikleri. Bitti = 1, Çıkış Sinali Şekil 1. Modül çekirdek blok diagramı. sonra, kontrolör hafıada h adresine giderek ilk önce dönüşüm matrisinin değerlerini hafıadan SabitYaac ta ugun erlere okur. Bu işlem, kontrolörde toplam 18 durum bounca apılır. Okunan bu değerler bütün noktaların dönüşümü tamamlanıncaa kadar bu aaçlar içinde tutulur. Modül, dönüşüm matrisini okuduktan sonra, hafıadan kaç tane noktanın okunacağı bilgisini ve hesaplanan eni değerlerin hafıada kadedileceği adres PC Adı CPU Hıı (GH) CPU Cache Bellek (KB) RAM (MB) Hafıa Tipi PC DDR 1 PC DDR 2 PC DDR 2 CPU Türü Intel Cel. AMD Athl. Intel Pent. FSB BUS Hıı (MH) BUS Boutu (Bit) Modülün çalışma performansını karşılaştırmak için konfigürasonları Tablo 1 de görülen üç adet bilgisaar seçilmiştir. Seçilen bu bilgisaarlardan PC-1 ve PC-3 tek 66

7 s , 28 Üere Tasarlanmış Matris Çarpım Motoru çekirdekli olup PC-2 çift çekirdekli AMD işlemcie sahiptir. Tablo 2 de verilen senteleme sonuçları göstermiştir ki, kullanılan slice register, LUTs saılarına göre seçilen FPGA çiplerine teorik olarak modülün beş adet kopasının anı anda sığması mümkündür. Fakat modülde bulunan IOB (giriş/çıkış pinleri) önünden düşünüldüğünde çipe iki adet modülün rahat bir şekilde erleştirilebileceği görülmektedir. Tablo 2. Modül FPGA çip istatistikleri. Hı Kaancı VIRTEX 4 Çipinin PClere Göre Hı Kaançları 3,5 3, 2,5 2, 1,5 1,,5, Objelerdeki Nokta Saısı FPGA Çip Türü Slice Reg. Saısı / % LUTs Saısı / % Slice FFs Saısı / % Bounded IOBs Saısı / % Max Saat Hıı (MH) Virtex / / / / Virtex / / / / Modül veri işlemlerinin kontrolü için 1, 1, 1, 1 ve 1 noktası (vertex) olan nesne verileri oluşturulmuştur. Bu veriler kesirli saı formatında olup her bir nokta için x,, ve w olmak üere dört adet rastgele kesirli saı belirlenmiştir. Tablo 3. PC lerin kesirli saı türünde oluşturulan nesne nokta verilerini işleme süreleri. Nokta Saısı PC-1 (µs) PC-2 (µs) PC-3 (µs) Tablo 3 te PC lerin dene verilerini işleme süreleri verilmiştir. Burada verilen süreler verilerin hard diskten okunması için gerekli sürei değil, noktaların hafıadan okunması, dönüştürülmesi (matris çarpım işlemlerini) ve sonuçların hafıaa aılması için geçen sürei göstermektedir. Şekil 11 ve 12 de Virtex 4 ve Virtex 5 çiplerine göre sentelenmiş modülün çalışma süreleri, seçilen PC lerle karşılaştırılmıştır. Sonuçlar orumlanacak olursa Virtex 4 çipinde modül, PC-1 e göre aklaşık 1.2 ile 3 kat arasında, PC-2 e göre 1.3 ile 1.5 ve PC-3 e göre ise aklaşık 1.2 ile 1.5 kat arasında hı kaancı sağlandığı görülmektedir. Virtex 5 çipinde ise, PC-1 e göre aklaşık 1.8 ile 4.7 kat arasında, PC-2 e göre 2. ile 2.5 ve PC-3 göre ise aklaşık 1.9 ile 2.4 kat arasında hı kaancı sağlandığı görülmektedir. Daha önce de belirtildiği gibi verilen hı kaançları tek bir çip üerinde, tek bir modül çalıştırıldığında elde edilen sonuçlardır. Birden fala çip üerinde birden fala modül paralel olarak çalıştırıldığında hı kaançları katlanarak artacaktır. PC 1 / VIRTEX 4 PC 2 / VIRTEX 4 PC 3 / VIRTEX 4 Şekil 11. Virtex 4 FPGA çipine göre sentelenmiş modülün PC lerle karşılaştırılması. Hı Kaancı 5, 4,5 4, 3,5 3, 2,5 2, 1,5 1,,5, VIRTEX 5 Çipinin PClere Göre Hı Kaançları Objelerdeki Nokta Saısı PC 1 / VIRTEX 5 PC 2 / VIRTEX 5 PC 3 / VIRTEX 5 Şekil 12. Virtex 5 FPGA çipine göre sentelenmiş modülün PC lerle karşılaştırılması. 5. SONUÇ Grafik ugulamaları ve animasonları oluşturulurken oğun olarak matris çarpımı işlemleri ve dönüşümleri apılmaktadır. Bu işlemler ve dönüşümler binlerce noktadan oluşan karmaşık şekillere ugulandığında kısa bir animason filminin hesaplanması saatler almaktadır. Eğer animason gerçek amanlı bir animason ise hesaplanması imkansı hale gelmektedir. Bu problemin çöümü için gelişmiş grafik kartları, grafik işlemler için tasarlanmış öel amaçlı tasarlanmış bilgisaarlar vea paralel işlemcili bilgisaarlar gibi değişik aklaşımlar geliştirilmiştir [2, 3]. Bu çalışma da ukarıda belirtilen aklaşımlara bir alternatif olarak, üç boutlu grafik dönüşümleri hılandırmak amacıla, FPGA çipleri üerinde çalışabilecek bir donanım modülü tasarlanmıştır. Bu aklaşımla 3B grafik dönüşümlerinde, paralel bilgisaarlara, süper bilgisaarlara hatta öel tasarlanmış grafik kartlarına göre daha düşük maliete sahip, normal bilgisaarlarla karşılaştırıldığında daha üksek performans gösteren ve çok kısa sürelerde defalarca tekrar programlanabilen daha esnek bir apı ortaa konulmuştur. Tasarlanan modül, gerçek veri üerinde işlemler apılarak test edilmiş ve ürettiği sonuçların doğrulanması apılmıştır. Modülün veri işleme hıı değişik bilgisaarlarla karşılaştırılmıştır. Karşılaştırmada kullanılan PC ler ortalama 2 GH 67

8 s , 28 Üere Tasarlanmış Matris Çarpım Motoru civarında çalışmasına rağmen modul en fala 288 MH e çıkabilmektedir. Buna rağmen karşılaştırma sonuçlarına göre modül kullanılarak kesirli saı tabanlı üç boutlu grafik dönüşümleri PC lere göre 2 ile 4 kat arasında hılandırmanın mümkün olacağı görülmüştür. Hı kaançları tek bir FPGA üerinde tek bir modül çalıştırılarak tespit edilmiştir. Bir FPGA çipine birden fala modülün ugun şekilde erleştirilmesile a da birden fala FPGA çipinde modülün birden fala kopasını çalıştırılmasıla hı kaancının katlanarak artacağı düşünülmektedir. Bu modül FPGA çipleri için tasarlanmıştır. FPGA çiplerinin şu anki saat hıları aklaşık olarak 5MH civarındadır. Modüller ASIC olarak gerçekleştirildiğinde çok daha üksek saat hılarına ulaşılacağı için PC lere göre çok daha üksek hı kaançlarına ulaşmak mümkün olacaktır. KAYNAKÇA 1. Sridharan, K. and Pria, T.K. 27. A Hardware Accelerator and FPGA Realiation for Reduced Visibilit Graph Construction Using Efficient Bit Representations. IEEE Transactions on Industrial Electronics, Vol. 54, No Kouncu, İ. and Şahin, İ. 27. Generic Fpga Modules for Integer 2D and 3D Transformations. 12th. Conference for Computer Aided Engineering and Sstem Modeling with Exhibition. Antala, Turke. 12. Çetin, A. 23. Bilgisaar Grafikleri. Seçkin Yaıncılık. 13. http// Hearn, D. and Baker M.P. 23. Computer Graphics with OpenGL 3E. Prentice Hall. 2. Bensaali, F., Amira, A., Uun, I.S. and Ahmedsaid, A. An FPGA Implementation of 3D Affine Transformations, IEEE International Conference on Electronics, Circuits and Sstems Proceedings. Sharjah, UAE. 3. http// http// Sahin, I. 22. A Compilation Tool for Automated Mapping of Algorithms onto FPGA Based Custom Computing Machines. NC State Universit, Doktora Tei, Raleigh-USA. 6. Şahin, İ. ve Gloster, C.S. 25. Evaluation of Ic Phsical Design Optimiation Algorithms For Acceleration Using Fpga-Based Custom Computing Machines. İleri Teknolojiler Sempoumu, Kona. 7. Gloster, C. and Sahin, I. 21. Floating-Point Modules Targeted for Use with RC Compilation Tools, NASA Earth Science Technolog Conference (ESTC), College Park, MD. 8. Sahin, I., Gloster, C. and Doss, C. 2. Feasibilit of Floating-Point Arithmetic in Reconfigurable Computing Sstems, NASA Militar and Aerospace Applications of Programmable Devices and Technolog Conference, Washington, DC. 9. Rincon, F. and Teres, L Reconfigurable Hardware Sstems. International Semiconductor Conference, vol. 1, pp

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür.

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür. İŞLEMCİLER (CPU) Mikroişlemci Nedir? Mikroişlemci, hafıza ve giriş/çıkış birimlerini bulunduran yapının geneline mikrobilgisayar; CPU' yu bulunduran entegre devre çipine ise mikroişlemci denir. İşlemciler

Detaylı

Gömülü Sistemler. (Embedded Systems)

Gömülü Sistemler. (Embedded Systems) Gömülü Sistemler (Embedded Systems) Tanım Gömülü Sistem (Embedded System): Programlanabilir bilgisayar içeren fakat kendisi genel amaçlı bilgisayar olmayan her türlü cihazdır. Gömülü Sistem (Embedded System):

Detaylı

FPGA TABANLI ORTALAMA GÖRÜNTÜ FİLTRESİ TASARIMI FPGA BASED MEAN IMAGE FILTER DESIGN

FPGA TABANLI ORTALAMA GÖRÜNTÜ FİLTRESİ TASARIMI FPGA BASED MEAN IMAGE FILTER DESIGN İleri Teknoloji Bilimleri Dergisi Cilt 2, Sayı 2, 1-13, 2013 Journal of Advanced Technology Sciences Vol 2, No 2, 1-13, 2013 FPGA TABANI ORTAAMA GÖRÜNTÜ FİTRESİ TASARIMI Süleyman ÇAKICI 1 *, İbrahim ŞAHİN

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar)

Detaylı

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar) Bus

Detaylı

DİZE EŞLEŞTİRME BAŞARIMINI İYİLEŞTİRMEK İÇİN FPGA TABANLI BİR DONANIM MODÜLÜ TASARIMI

DİZE EŞLEŞTİRME BAŞARIMINI İYİLEŞTİRMEK İÇİN FPGA TABANLI BİR DONANIM MODÜLÜ TASARIMI İleri Teknoloji Bilimleri Dergisi Cilt 2, Sayı 2, 30-39, 2013 Journal of Advanced Technology Sciences Vol 2, No 2, 30-39, 2013 DİZE EŞLEŞTİRME BAŞARIMINI İYİLEŞTİRMEK İÇİN FPGA TABANLI BİR DONANIM MODÜLÜ

Detaylı

İşletim Sistemlerine Giriş

İşletim Sistemlerine Giriş İşletim Sistemlerine Giriş İşletim Sistemleri ve Donanım İşletim Sistemlerine Giriş/ Ders01 1 İşletim Sistemi? Yazılım olmadan bir bilgisayar METAL yığınıdır. Yazılım bilgiyi saklayabilir, işleyebilir

Detaylı

2. SAHADA PROGRAMLANABİLİR KAPI DİZİLERİ (FPGA)

2. SAHADA PROGRAMLANABİLİR KAPI DİZİLERİ (FPGA) 1. GİRİŞ Analog devrelerden sayısal devrelere geçildiği günümüzde, sayısal işaret işlemede, sayısal filtreler önemli bir rol oynamaktadır. Birçok cihazda kullanılan sayısal filtreleri gerçeklemek için

Detaylı

BİLGİSAYAR MİMARİSİ. << Bus Yapısı >> Özer Çelik Matematik-Bilgisayar Bölümü

BİLGİSAYAR MİMARİSİ. << Bus Yapısı >> Özer Çelik Matematik-Bilgisayar Bölümü BİLGİSAYAR MİMARİSİ > Özer Çelik Matematik-Bilgisayar Bölümü Veri yolu (BUS), anakarttaki tüm aygıtlar arası veri iletişimini sağlayan devrelerdir. Yani bilgisayarın bir bileşeninden diğerine

Detaylı

Dinamik Sistemlerin Yapay Sinir Ağları ile Düz ve Ters Modellenmesi

Dinamik Sistemlerin Yapay Sinir Ağları ile Düz ve Ters Modellenmesi KSÜ Fen ve Mühendislik Dergisi 6(1) 2003 26 KSU J. Science and Engineering 6(1) 2003 Dinamik Sistemlerin Yaa Sinir Ağları ile Düz ve Ters Modellenmesi Hasan Rıza ÖZÇALIK Ahmet KÜÇÜKTÜFEKÇİ KSÜ. Müh.-Mim.

Detaylı

Yüzde tabanlı String Eşleme Problemi için yeni bir donanım modülü tasarımı. A hardware module design for percentage-based String Matching Problem

Yüzde tabanlı String Eşleme Problemi için yeni bir donanım modülü tasarımı. A hardware module design for percentage-based String Matching Problem SAÜ Fen Bil Der 20. Cilt, 3. Sayı, s. 441-450, 2016 Yüzde tabanlı String Eşleme Problemi için yeni bir donanım modülü tasarımı ÖZ İbrahim Şahin 1, Günay Temür 2* 29.05.2015 Geliş/Received, 20.06.2016 Kabul/Accepted

Detaylı

Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü

Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü 1 BİLGİSAYAR MİMARİSİ Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü http:// http:// Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/

Detaylı

FPGA ile Gömülü Sistem Tasarımına Giriş

FPGA ile Gömülü Sistem Tasarımına Giriş FPGA ile Gömülü Sistem Tasarımına Giriş Bilg. Yük. Müh. Selçuk BAŞAK SelSistem Bilgi ve İletişim Teknolojileri www.selsistem.com.tr Giriş Gömülü Sistemler Programlanabilir Lojik - SPLD FPGA & CPLD Donanım

Detaylı

İşletim Sistemleri (Operating Systems)

İşletim Sistemleri (Operating Systems) İşletim Sistemleri (Operating Systems) 1 İşletim Sistemleri (Operating Systems) Genel bilgiler Ders kitabı: Tanenbaum & Bo, Modern Operating Systems:4th ed., Prentice-Hall, Inc. 2013 Operating System Concepts,

Detaylı

DERS 5. Çok Değişkenli Fonksiyonlar, Kısmi Türevler

DERS 5. Çok Değişkenli Fonksiyonlar, Kısmi Türevler DERS 5 Çok Değişkenli Fonksionlar Kısmi Türevler 5.1. Çok Değişkenli Fonksionlar. Reel saılar kümesi R ile gösterilmek üere ve her n için olarak tanımlanır. R R 3 {( ): R} = {( ) : R} = {( L ): L R} n

Detaylı

ISSN : Duzce-Turkey

ISSN : Duzce-Turkey ISSN:1306-3111 e-journal of New World Sciences Academy 2011, Volume: 6, Number: 4, Article Number: 1A0263 ENGINEERING SCIENCES İsmail Koyuncu Received: September 2011 İbrahim Şahin Accepted: October 2011

Detaylı

Mikroişlemcili Sistemler ve Laboratuvarı

Mikroişlemcili Sistemler ve Laboratuvarı SAKARYA ÜNİVERSİTESİ Bilgisayar ve Bilişim Bilimleri Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemcili Sistemler ve Laboratuvarı Hafta04 : 8255 ve Bellek Organizasyonu Doç.Dr. Ahmet Turan ÖZCERİT

Detaylı

VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı

VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı Kenan Baysal 1, Deniz Taşkın 2, Eser Sert 3, Nurşen Topçubaşı 4 1 Namık Kemal Üniversitesi, Hayrabolu Meslek Yüksekokulu, Bilgi Yönetimi

Detaylı

ENF 100 Temel Bilgi Teknolojileri Kullanımı Ders Notları 2. Hafta. Öğr. Gör. Dr. Barış Doğru

ENF 100 Temel Bilgi Teknolojileri Kullanımı Ders Notları 2. Hafta. Öğr. Gör. Dr. Barış Doğru ENF 100 Temel Bilgi Teknolojileri Kullanımı Ders Notları 2. Hafta Öğr. Gör. Dr. Barış Doğru 1 Konular 1. Bilgisayar Nedir? 2. Bilgisayarın Tarihçesi 3. Günümüz Bilgi Teknolojisi 4. Bilgisayarların Sınıflandırılması

Detaylı

BM 375 Bilgisayar Organizasyonu Dersi Vize Sınavı Cevapları 10 Nisan 2009

BM 375 Bilgisayar Organizasyonu Dersi Vize Sınavı Cevapları 10 Nisan 2009 1-) Instruction Cycle State Diagram ı çizip herbir state için gerçekleştirilen işlemleri detaylı bir şekilde açıklayınız. Instruction state cycle da üstteki kısımlar CPU dışında alttaki kısımlar CPU içinde

Detaylı

İŞLEMCİ İşlemcilerin Temel Birimleri, İşlemcinin Çalışma Sistemi ve Komutlar, İşlemci ve Hafıza Arasındaki İlişki, İşlemci Teknolojileri, Modern

İŞLEMCİ İşlemcilerin Temel Birimleri, İşlemcinin Çalışma Sistemi ve Komutlar, İşlemci ve Hafıza Arasındaki İlişki, İşlemci Teknolojileri, Modern İŞLEMCİ İşlemcilerin Temel Birimleri, İşlemcinin Çalışma Sistemi ve Komutlar, İşlemci ve Hafıza Arasındaki İlişki, İşlemci Teknolojileri, Modern İşlemci Türleri, Özel Nitelikli İşlemciler, İşlemci Montajı,

Detaylı

Uzaysal Görüntü İyileştirme/Filtreleme. Doç. Dr. Fevzi Karslı fkarsli@ktu.edu.tr

Uzaysal Görüntü İyileştirme/Filtreleme. Doç. Dr. Fevzi Karslı fkarsli@ktu.edu.tr Uasal Görüntü İileştirme/Filtreleme Doç. Dr. Fevi Karslı karsli@ktu.edu.tr İileştirme Herhangi bir ugulama için, görüntüü orijinalden daha ugun hale getirmek Ugunluğu her bir ugulama için sağlamak. Bir

Detaylı

A.Ü. GAMA MYO. Elektrik ve Enerji Bölümü ALGORİTMA VE PROGRAMLAMA 1.HAFTA

A.Ü. GAMA MYO. Elektrik ve Enerji Bölümü ALGORİTMA VE PROGRAMLAMA 1.HAFTA A.Ü. GAMA MYO. Elektrik ve Enerji Bölümü ALGORİTMA VE PROGRAMLAMA 1.HAFTA 1 İçindekiler Bilgisayarların Çalışma Prensibi Sayı Sistemleri Programlama Dilleri 2 BİLGİSAYARLARIN ÇALIŞMA PRENSİBİ Bilgisayar

Detaylı

1 (c) herhangi iki kompleks sayı olmak üzere

1 (c) herhangi iki kompleks sayı olmak üzere KOMPLEKS FONKSİYONLAR TEORİSİ UYGULAMA SORULARI- Problem. Aşağıdaki (a) ve (b) de olmak üere (a) olduklarını gösterini. (b) (c) Imi Re Çöüm (a) i olsun. i i (b) i olsun. i i i i i i i i i i Im i Re i (c)

Detaylı

Bilgisayarların Gelişimi

Bilgisayarların Gelişimi Bilgisayarların Gelişimi Joseph Jacquard (1810) Bilgisayar tabanlı halı dokuma makinesi Delikli Kart (Punch Card) Algoritma ve Programlama 6 Bilgisayar Sistemi 1. Donanım fiziksel aygıtlardır. 2. Yazılım

Detaylı

Bilginin Görselleştirilmesi

Bilginin Görselleştirilmesi Bilginin Görselleştirilmesi Bundan önceki konularımızda serbest halde azılmış metinlerde gerek duduğumuz bilginin varlığının işlenmee, karşılaştırmaa ve değerlendirmee atkın olmadığını, bu nedenle bilginin

Detaylı

LEVENSHTEIN MESAFE ALGORİTMASI İÇİN BİR DONANIM MODÜLÜ TASARIMI

LEVENSHTEIN MESAFE ALGORİTMASI İÇİN BİR DONANIM MODÜLÜ TASARIMI LEVENSHTEIN MESAFE ALGORİTMASI İÇİN BİR DONANIM MODÜLÜ TASARIMI İbrahim ŞAHİN Bilgisayar Mühendisliği Bölümü, Teknoloji Fakültesi, Düzce Üniversitesi, Düzce, TÜRKİYE ibrahimsahin@duzce.edu.tr Günay TEMÜR

Detaylı

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber FPGA ile Kablosuz Görüntü Aktarımı Yusuf Onur Koçberber Seminer 2009 Yaz Plan FPGA Genel bilgiler FPGA nın İç Yapısı, Nasıl Programlanabiliyor?, Nasıl Çalışıyor? Neden bu kadar popüler oldu? MPW Her şeyin

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Giriş Mikro işlemler Fetch cycle Indirect cycle Interrupt cycle Execute cycle Instruction

Detaylı

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Programlanabilir Lojik Basit Programlanabilir Lojik Cihazlar (Simple Programmable Logic Device - SPLD) ** PAL (Programmable

Detaylı

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş C ile 8051 Mikrodenetleyici Uygulamaları BÖLÜM 2 8051 Mikrodenetleyicisine Giriş Amaçlar 8051 mikrodenetleyicisinin tarihi gelişimini açıklamak 8051 mikrodenetleyicisinin mimari yapısını kavramak 8051

Detaylı

GÖMÜLÜ SİSTEMLER 17.06.2015 GÖMÜLÜ SİSTEMLER 1

GÖMÜLÜ SİSTEMLER 17.06.2015 GÖMÜLÜ SİSTEMLER 1 GÖMÜLÜ SİSTEMLER 17.06.2015 GÖMÜLÜ SİSTEMLER 1 İÇERİK Gömülü Sistemler ARM işlemciler FPGA FBGA DS1006 İşlemci Kartı dspace PX10 box 17.06.2015 GÖMÜLÜ SİSTEMLER 2 GÖMÜLÜ SİSTEMLER Bir ana yada bir alt

Detaylı

30. Uzay çerçeve örnek çözümleri

30. Uzay çerçeve örnek çözümleri . Ua çerçeve örnek çöümleri. Ua çerçeve örnek çöümleri Ua çerçeve eleman sonlu elemanlar metodunun en karmaşık elemanıdır. Bunun nedenleri: ) Her eleman için erel eksen takımı seçilmesi gerekir. Elemanın

Detaylı

x86 Ailesi Mikroişlemciler ve Mikrobilgisayarlar

x86 Ailesi Mikroişlemciler ve Mikrobilgisayarlar x86 Ailesi 1 8085A,8088 ve 8086 2 Temel Mikroişlemci Özellikleri Mikroişlemcinin bir defade işleyebileceği kelime uzunluğu Mikroişlemcinin tek bir komutu işleme hızı Mikroişlemcinin doğrudan adresleyebileceği

Detaylı

Bilgisayar Mimarisi Nedir?

Bilgisayar Mimarisi Nedir? BİLGİSAYAR MİMARİSİ Bilgisayar Mimarisi Nedir? Bilgisayar mimarisi, diğer mimariler gibi, bir yapı kullanıcısının ihtiyaçlarını belirleme ve bu ihtiyaçları ekonomik ve teknolojik kısıtlamalar dahilinde

Detaylı

T E M E L K AV R A M L A R. Öğr.Gör. Günay TEMÜR / Teknoloji F. / Bilgisayar Müh.

T E M E L K AV R A M L A R. Öğr.Gör. Günay TEMÜR / Teknoloji F. / Bilgisayar Müh. B İ L G İ S AY A R M Ü H E N D İ S L İ Ğ İ N E G İ R İ Ş T E M E L K AV R A M L A R BAŞLAYALIM BİLGİSAYAR (COMPUTER) NEDİR? Bilgisayar, kullanıcıdan aldığı verilerle aritmetiksel ve mantıksal işlemler

Detaylı

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI Yard. Doç. Dr. Özdemir ÇETİN Sunu Başlıklar kları 1. Amaç 2. Temel Bilgiler 1. SoC (System-On-Chip) nedir? 2. SoC donanım araçları ASIC (Application

Detaylı

KENAR TETİKLEMELİ D FLİP-FLOP

KENAR TETİKLEMELİ D FLİP-FLOP Karadeniz Teknik Üniversitesi Bilgisaar Mühendisliği Bölümü Saısal Tasarım Laboratuarı KENAR TETİKLEMELİ FLİP-FLOP 1. SR Flip-Flop tan Kenar Tetiklemeli FF a Geçiş FF lar girişlere ugulanan lojik değerlere

Detaylı

ELEKTRONİK DEVRELERİN MODELLENMESİNDE YÜKSEK BAŞARIMLI BİLGİ TABANLI YAPAY SİNİR AĞLARININ KULLANIMI

ELEKTRONİK DEVRELERİN MODELLENMESİNDE YÜKSEK BAŞARIMLI BİLGİ TABANLI YAPAY SİNİR AĞLARININ KULLANIMI ELEKTRONİK DEVRELERİN MODELLENMESİNDE YÜKSEK BAŞARIMLI BİLGİ TABANLI YAPAY SİNİR AĞLARININ KULLANIMI Murat ŞİMŞEK 1 İpek TÜRKER 2 N Serap ŞENGÖR 3 1,3 İstanbul Teknik Üniversitesi, Elektrik ve Elektronik

Detaylı

Digital Design HDL. Dr. Cahit Karakuş, February-2018

Digital Design HDL. Dr. Cahit Karakuş, February-2018 Digital Design HDL Dr. Cahit Karakuş, February-2018 NOT, AND, and OR Gates NAND and NOR Gates DeMorgan s Theorem Exclusive-OR (XOR) Gate Multiple-input Gates Basic Logic Gates and Basic Digital Design

Detaylı

Yrd.Doç.Dr. Celal Murat KANDEMİR

Yrd.Doç.Dr. Celal Murat KANDEMİR Bilgisayar Mimarisi Ara Bağlantı Yapıları ve Bus Kavramı Yrd.Doç.Dr. Celal Murat KANDEMİR ESOGÜ Eğitim Fakültesi - BÖTE twitter.com/cmkandemir Ara Bağlantı Yapıları Bir bilgisayar sistemi MİB, bellek ve

Detaylı

(Random-Access Memory)

(Random-Access Memory) BELLEK (Memory) Ardışıl devreler bellek elemanının varlığı üzerine kuruludur Bir flip-flop sadece bir bitlik bir bilgi tutabilir Bir saklayıcı (register) bir sözcük (word) tutabilir (genellikle 32-64 bit)

Detaylı

KONU 8: SİMPLEKS TABLODA KARŞILAŞILAN BAZI DURUMLAR - II 8.1. İki Evreli Yöntem Standart biçime dönüştürülmüş min /max Z cx (8.1)

KONU 8: SİMPLEKS TABLODA KARŞILAŞILAN BAZI DURUMLAR - II 8.1. İki Evreli Yöntem Standart biçime dönüştürülmüş min /max Z cx (8.1) KONU 8: SİMPLEKS ABLODA KARŞILAŞILAN BAZI DURUMLAR - II 8.. İki Evreli Yöntem Standart biçime dönüştürülmüş min /max Z cx AX b X (8.) biçiminde tanımlı d.p.p. nin en ii çözüm değerinin elde edilmesinde,

Detaylı

Donanımlar Hafta 1 Donanım

Donanımlar Hafta 1 Donanım Donanımlar Hafta 1 Donanım Donanım Birimleri Ana Donanım Birimleri (Anakart, CPU, RAM, Ekran Kartı, Sabit Disk gibi aygıtlar, ) Ek Donanım Birimleri (Yazıcı, Tarayıcı, CD-ROM, Ses Kartı, vb ) Anakart (motherboard,

Detaylı

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi Buse Ustaoğlu Berna Örs Yalçın İçerik Giriş Çalişmanın Amacı Mikroişlemciye Hata Enjekte Etme Adımları Hata Üreteci Devresi

Detaylı

Embedded(Gömülü)Sistem Nedir?

Embedded(Gömülü)Sistem Nedir? Embedded(Gömülü)Sistem Nedir? Embedded Computing System de amaç; elektronik cihaza bir işlevi sürekli tekrar ettirmektir. Sistem içindeki program buna göre hazırlanmıştır. PC lerde (Desktop veya Laptop)

Detaylı

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur.

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur. HDL Dilleri HDL(Donanım Tanımlama Dili); tasarımın, HDL dillerinden her hangi bir tanesinin kullanılarak yapılmasıdır. HDL bir donanım parçasını modellemek için kullanılan yazılım dilidir. VHDL ile Verilog

Detaylı

DSP DONANIMI. Pek çok DSP için temel elemanlar aşağıdaki gibidir.

DSP DONANIMI. Pek çok DSP için temel elemanlar aşağıdaki gibidir. DSP DONANIMI Pek çok DSP için temel elemanlar aşağıdaki gibidir. Çarpıcı yada çarpıcı- toplayıcı (MPY/MAC) Aritmetik lojik birim (ALU) Öteleyici (SHIFTER) Adres üreteci (AG) Komut yada program sıralayıcı

Detaylı

Doğu Akdeniz Üniversitesi Bilgisayar ve Teknoloji Yüksek Okulu Bilgi teknolojileri ve Programcılığı Bölümü DERS 1 - BİLGİSAYAR VE ÇEVRE ÜNİTELERİ

Doğu Akdeniz Üniversitesi Bilgisayar ve Teknoloji Yüksek Okulu Bilgi teknolojileri ve Programcılığı Bölümü DERS 1 - BİLGİSAYAR VE ÇEVRE ÜNİTELERİ Doğu Akdeniz Üniversitesi Bilgisayar ve Teknoloji Yüksek Okulu Bilgi teknolojileri ve Programcılığı Bölümü DERS 1 - BİLGİSAYAR VE ÇEVRE ÜNİTELERİ Bilgisayar, kendine önceden yüklenmiş program gereğince

Detaylı

Görev Unvanı Alan Üniversite Yıl Prof. Dr. Elek.-Eln Müh. Çukurova Üniversitesi Eylül 2014

Görev Unvanı Alan Üniversite Yıl Prof. Dr. Elek.-Eln Müh. Çukurova Üniversitesi Eylül 2014 ÖZGEÇMİŞ 1. Adı Soyadı : MUSTAFA GÖK 2. Doğum Tarihi: : 1972 3. Unvanı : Prof. Dr. 4. Öğrenim Durumu Derece Alan Üniversite Yıl Lisans Elektronik Mühendisliği İstanbul Üniversitesi 1995 Yüksek Lisans Electrical

Detaylı

William Stallings Computer Organization and Architecture 9 th Edition

William Stallings Computer Organization and Architecture 9 th Edition William Stallings Computer Organization and Architecture 9 th Edition Bölüm 5 İç Hafıza Bir Hafıza Hücresinin Çalışması Bütün hafıza hücrelerinin ortak özellikleri vardır: 0 ve 1 durumundan birini gösterirler

Detaylı

Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü

Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü FBs-4A2D, FATEK FBs'nin PLC serilerinin analog I/O modullerinden biridir. Analog çıkışları için 2 kanallı 14bitlik D/A çıkışı sağlar. Farklı jumper ayarlarına

Detaylı

HSancak Nesne Tabanlı Programlama I Ders Notları

HSancak Nesne Tabanlı Programlama I Ders Notları DİZİLER Bellekte ard arda yer alan aynı türden nesneler kümesine dizi (array) denilir. Bir dizi içerisindeki bütün elemanlara aynı isimle ulaşılır. Yani dizideki bütün elemanların isimleri ortaktır. Elemanlar

Detaylı

4. HAFTA KBT104 BİLGİSAYAR DONANIMI. KBUZEM Karabük Üniversitesi Uzaktan Eğitim Uygulama ve Araştırma Merkezi

4. HAFTA KBT104 BİLGİSAYAR DONANIMI. KBUZEM Karabük Üniversitesi Uzaktan Eğitim Uygulama ve Araştırma Merkezi 4. HAFTA KBT104 BİLGİSAYAR DONANIMI Karabük Üniversitesi Uzaktan Eğitim Uygulama ve Araştırma Merkezi 2 Konu Başlıkları Merkezî İşlem Birimi Mikroişlemci(CPU) Çok Çekirdekli Kavramı Çoklu Çekirdek Tasarımı

Detaylı

BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü

BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü Bu derste! Büyük, karmaşık sayısal sistemlerin tasarımı ele alınacaktır. ASM ve ASMD çizgeleri Tasarım Örnekleri

Detaylı

MİKROİŞLEMCİ SİSTEMLERİ RAPOR-1 HAZIRLAYAN AD: MUSTAFA İHSAN SOYAD:ZENGİN NO:

MİKROİŞLEMCİ SİSTEMLERİ RAPOR-1 HAZIRLAYAN AD: MUSTAFA İHSAN SOYAD:ZENGİN NO: MİKROİŞLEMCİ SİSTEMLERİ RAPOR- HAIRLAAN AD: MUSTAFA İHSAN SOAD:ENGİN NO: 040990678 Giriş Bilgisaarın nasıl çalıştığını anlamak için; sadece program kesime bakmak eterli olmaacaktır. Bir programın hangi

Detaylı

STATICS. Equivalent Systems of Forces VECTOR MECHANICS FOR ENGINEERS: Seventh Edition CHAPTER. Ferdinand P. Beer E. Russell Johnston, Jr.

STATICS. Equivalent Systems of Forces VECTOR MECHANICS FOR ENGINEERS: Seventh Edition CHAPTER. Ferdinand P. Beer E. Russell Johnston, Jr. Seventh E 3 Rigid CHAPTER VECTOR MECHANICS FOR ENGINEERS: STATICS Ferdinand P. Beer E. Russell Johnston, Jr. Lecture Notes: J. Walt Oler Teas Tech Universit Bodies: Equivalent Sstems of Forces Seventh

Detaylı

Bilgisayar Mimarisi ve Organizasyonu Giriş

Bilgisayar Mimarisi ve Organizasyonu Giriş + Bilgisayar Mimarisi ve Organizasyonu Giriş Bilgisayar Mimarisi Bilgisayar Organizasyonu Programcının görebileceği bir sistemin nitelikleri Bir programın mantıksal yürütülmesi üzerinde direk bir etkisi

Detaylı

80C51 Mikrodenetleyicilerinde Timer-Counter Yapılarının FPGA Mimarileri Kullanılarak Geliştirilmesi. Özdemir ÇETİN 1. Bölümü, Adapazarı

80C51 Mikrodenetleyicilerinde Timer-Counter Yapılarının FPGA Mimarileri Kullanılarak Geliştirilmesi. Özdemir ÇETİN 1. Bölümü, Adapazarı 80C51 Mikrodenetleyicilerinde Timer-Counter Yapılarının FPGA Mimarileri Kullanılarak Geliştirilmesi Özet Murat ÇAKIROĞLU 1 Ahmet Turan ÖZCRİT 1 alil İbrahim SKİKURT 1 Özdemir ÇTİN 1 1 Sakarya Üniversitesi,

Detaylı

GAZİANTEP ÜNİVERSİTESİ

GAZİANTEP ÜNİVERSİTESİ GAZİANTEP ÜNİVERSİTESİ Fizik Mühendisliği Bölümü Pic Basic Pro ile PIC Programlama Ders Notları Hazırlayan: Kamil KAYA 2012 Mikrodenetleyiciler: Mikrodenetleyicilerin tanımına girmeden önce kısaca mikroişlemcilere

Detaylı

1. GİRİŞ 1.1. Giriş ve Çalışmanın Amacı

1. GİRİŞ 1.1. Giriş ve Çalışmanın Amacı 1. GİRİŞ 1.1. Giriş ve Çalışmanın Amacı İnsanların birbirlerine olan güvenlerinin iyice azaldığı günümüz dünyasında, veri iletişiminde güvenliğin sağlanması en önemli konulardan biri haline gelmiştir.

Detaylı

Windows Eski Sürümleri Bellek Yapısı

Windows Eski Sürümleri Bellek Yapısı Windows Eski Sürümleri Bellek Yapısı -Intel 8086/8088 mimarili işlemciler//640k RAM -Segment Modeli(Segmented Model) -Her segment 64K uzunluğunda -Intel 80286,640K dan daha fazla RAM i destekledi -Windows

Detaylı

Dosya Saklama Ortamları (Devam) Kütük Organizasyonu 1

Dosya Saklama Ortamları (Devam) Kütük Organizasyonu 1 Dosya Saklama Ortamları (Devam) Kütük Organizasyonu 1 Disk Erişimi Diks Erişiminde 3 öğe vardır: i) Arama Süresi (Seek Time) ii) iii) Gecikme Süresi(Rotational Delay) Transfer Süresi (Transfer Time) Kütük

Detaylı

PORTLAR Bilgisayar: VERİ:

PORTLAR Bilgisayar: VERİ: PORTLAR 1.FARE 2. YAZICI ÇİZİCİ TARAYICI 3.AĞ-İNTERNET 4.SES GİRİŞİ 5.SES ÇIKIŞI(KULAKLIK) 6.MİKROFON 7.USB-FLASH 8.USB-FLASH 9.MONİTÖR 10.PROJEKSİYON 11.KLAVYE BİLGİSAYAR NEDİR? Bilgisayar: Kullanıcıdan

Detaylı

MİKROİŞLEMCİLER 1 Ders 1

MİKROİŞLEMCİLER 1 Ders 1 MİKROİŞLEMCİLER 1 Ders 1 Ders Kitabı: The 80x86 IBM PC and Compatible Computers Assembly Language, Design, and Interfacing Muhammad ali Mazidi, Janice Gillipsie Mazidi Öğr.Gör. Mahmut YALÇIN 09.03.2011

Detaylı

Hacettepe Robot Topluluğu

Hacettepe Robot Topluluğu Hacettepe Robot Topluluğu PIC Assembly Dersleri 1. Ders: PIC Programlamaya Giriş HUNRobotX - PIC Assembly Dersleri 1. Ders: PIC Programlamaya Giriş Yazan: Kutluhan Akman, Düzenleyen: Canol Gökel - 4 Haziran

Detaylı

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ İçerik Mikroişlemci Sistem Mimarisi Mikroişlemcinin yürüttüğü işlemler Mikroişlemci Yol (Bus) Yapısı Mikroişlemci İç Veri İşlemleri Çevresel Cihazlarca Yürütülen İşlemler

Detaylı

STATİK MÜHENDİSLİK MEKANİĞİ. Behcet DAĞHAN. Behcet DAĞHAN. Behcet DAĞHAN. Behcet DAĞHAN

STATİK MÜHENDİSLİK MEKANİĞİ. Behcet DAĞHAN. Behcet DAĞHAN. Behcet DAĞHAN.   Behcet DAĞHAN Statik Ders Notları Sınav Soru ve Çöümleri DĞHN MÜHENDİSLİK MEKNİĞİ STTİK MÜHENDİSLİK MEKNİĞİ STTİK İÇİNDEKİLE 1. GİİŞ - Skalerler ve Vektörler - Newton Kanunları 2. KUVVET SİSTEMLEİ - İki Boutlu Kuvvet

Detaylı

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme PROGRAMIN ADI DERSIN KODU VE ADI DERSIN ISLENECEGI DÖNEM HAFTALIK DERS SAATİ DERSİN SÜRESİ ENDÜSTRİYEL ELEKTRONİK MİK.İŞLEMCİLER/MİK.DENETLEYİCİLER-1 2. Yıl, III. Yarıyıl (Güz) 4 (Teori: 3, Uygulama: 1,

Detaylı

Von Neumann Mimarisi. Mikroişlemciler ve Mikrobilgisayarlar 1

Von Neumann Mimarisi. Mikroişlemciler ve Mikrobilgisayarlar 1 Von Neumann Mimarisi Mikroişlemciler ve Mikrobilgisayarlar 1 Sayısal Bilgisayarın Tarihsel Gelişim Süreci Babage in analitik makinası (1833) Vakumlu lambanın bulunuşu (1910) İlk elektronik sayısal bilgisayar

Detaylı

CUMHURİYET MESLEKİ VE TEKNİK ANADOLU LİSESİ BİLİŞİM TEKNOLOJİLERİNİN TEMELLERİ DERSİ DERS NOTLARI BELLEKLER

CUMHURİYET MESLEKİ VE TEKNİK ANADOLU LİSESİ BİLİŞİM TEKNOLOJİLERİNİN TEMELLERİ DERSİ DERS NOTLARI BELLEKLER BELLEKLER Genel olarak bellekler, elektronik bilgi depolama üniteleridir. Bilgisayarlarda kullanılan bellekler, işlemcinin istediği bilgi ve komutları maksimum hızda işlemciye ulaştıran ve üzerindeki bilgileri

Detaylı

İŞ İSTASYONU SEÇİM REHBERİ

İŞ İSTASYONU SEÇİM REHBERİ İŞ İSTASYONU SEÇİM REHBERİ Tasarım programları yapıları gereği çalışırken kompleks hesaplamalar yaparak ekrana en doğru ve gerçekçi görüntüyü getirmeye çalışır. Bu sebeple bilgisayar seçimi çalışma performansınızı

Detaylı

Bilgisayar Donanım 2010 BİLGİSAYAR

Bilgisayar Donanım 2010 BİLGİSAYAR BİLGİSAYAR CPU, bellek ve diğer sistem bileşenlerinin bir baskı devre (pcb) üzerine yerleştirildiği platforma Anakart adı verilmektedir. Anakart üzerinde CPU, bellek, genişleme yuvaları, BIOS, çipsetler,

Detaylı

Bulanık Mantık Denetleyiciler

Bulanık Mantık Denetleyiciler Denetim sistemleri genel olarak açık döngülüvekapalı döngülü/geri beslemeli olarak iki tiptir. Açık döngülü denetim sistemlerinde denetim hareketi sistem çıkışından bağımsıdır. Kapalı döngülü sistemlerde

Detaylı

İletişim Protokolleri (Communication Protocols)

İletişim Protokolleri (Communication Protocols) İletişim Protokolleri (Communication Protocols) Arduino dış dünya ile iletişim kurabilmek için genel amaçlı i/o pinleri önceki konu başlığında incelenmişti. LED, buton, role vb. cihazlardan girdi almak

Detaylı

ALÇAK IF ALICI İÇİN (CCII) AKIM TAŞIYICILARLA GERÇEKLEŞTİRİLEN ÇOK FAZLI SÜZGEÇ KATI

ALÇAK IF ALICI İÇİN (CCII) AKIM TAŞIYICILARLA GERÇEKLEŞTİRİLEN ÇOK FAZLI SÜZGEÇ KATI HAVACILIK VE UZAY TEKNOLOJİLERİ DERGİSİ OCAK 26 CİLT 2 SAYI 3 (21-26) ALÇAK IF ALICI İÇİN (CCII) AKIM TAŞIYICILARLA GERÇEKLEŞTİRİLEN ÇOK FAZLI SÜZGEÇ KATI Mahmut ÜN İstanbul Üniversitesi Mühendislik Fakültesi

Detaylı

PIC MİKROKONTROLÖR TABANLI MİNİ-KLAVYE TASARIMI

PIC MİKROKONTROLÖR TABANLI MİNİ-KLAVYE TASARIMI PIC MİKROKONTROLÖR TABANLI MİNİ-KLAVYE TASARIMI Prof. Dr. Doğan İbrahim Yakın Doğu Üniversitesi, Bilgisayar Mühendisliği Bölümü, Lefkoşa, KKTC E-mail: dogan@neu.edu.tr, Tel: (90) 392 2236464 ÖZET Bilgisayarlara

Detaylı

Bilgisayar Temel kavramlar - Donanım -Yazılım Ufuk ÇAKIOĞLU

Bilgisayar Temel kavramlar - Donanım -Yazılım Ufuk ÇAKIOĞLU Bilgisayar Temel kavramlar - Donanım -Yazılım Ufuk ÇAKIOĞLU Bilgisayar Nedir? Bilgisayar; Kullanıcıdan aldığı bilgilerle mantıksal ve aritmetiksel işlemler yapabilen, Yaptığı işlemleri saklayabilen, Sakladığı

Detaylı

FPGA Mimarisi. Bilgisayar Mimarisinde Yeni Yaklaşımlar. Mehmet AKTAŞ

FPGA Mimarisi. Bilgisayar Mimarisinde Yeni Yaklaşımlar. Mehmet AKTAŞ FPGA Mimarisi Bilgisayar Mimarisinde Yeni Yaklaşımlar Mehmet AKTAŞ 1. Giriş Sigorta Bağlantılı Teknolojiler Karşıt Sigorta Teknolojisi ROM PROM EPROM EEPROM SRAM 2. Programlanabilir Teknolojiler Programlanabilir

Detaylı

MİKROİŞLEMCİ MİMARİLERİ

MİKROİŞLEMCİ MİMARİLERİ MİKROİŞLEMCİ MİMARİLERİ Mikroişlemcilerin yapısı tipik olarak 2 alt sınıfta incelenebilir: Mikroişlemci mimarisi (Komut seti mimarisi), Mikroişlemci organizasyonu (İşlemci mikromimarisi). CISC 1980 lerden

Detaylı

Saklayıcı (veya Yazmaç) (Register)

Saklayıcı (veya Yazmaç) (Register) Saklayıcı (veya Yazmaç) (Register) Genel bir ardışıl devre: Saklayıcılar Ardışıl devre analiz ve sentezi için iyi bir örnektir. Ayrıca daha büyük çaplı ardışıl devrelerin tasarımında kullanılabilirler.

Detaylı

Bölüm 13: Giriş-Çıkış (I/O) Sistemleri

Bölüm 13: Giriş-Çıkış (I/O) Sistemleri Bölüm 13: Giriş-Çıkış (I/O) Sistemleri Mehmet Demirci tarafından çevrilmiştir. Silberschatz, Galvin and Gagne 2013 Bölüm 13: Giriş-Çıkış (I/O) Sistemleri Genel bakış I/O donanımı Uygulama I/O arayüzü Çekirdek

Detaylı

BİTİRME ÇALIŞMASI ARA RAPOR YAZIM KILAVUZU

BİTİRME ÇALIŞMASI ARA RAPOR YAZIM KILAVUZU BİTİRME ÇALIŞMASI ARA RAPOR YAZIM KILAVUZU 1. Başlık ve Kapak Sayfası Başlık sayfası formatı için bölüm web sayfasında bulunan rapor_kapak.docx başlıklı MS Office Word dokümanı kullanılacaktır. Düzenlenmesi

Detaylı

MİKROİŞLEMCİLER. Mikroişlemcilerde Kullanılan Yeni Teknolojiler ve Mikroişlemcilerin Rakipleri

MİKROİŞLEMCİLER. Mikroişlemcilerde Kullanılan Yeni Teknolojiler ve Mikroişlemcilerin Rakipleri MİKROİŞLEMCİLER MİKROİŞLEMCİLER Mikroişlemcilerde Kullanılan Yeni Teknolojiler ve Mikroişlemcilerin Rakipleri Mikroişlemcilerde Kullanılan Yeni Teknolojiler Mikroişlemcilerin performanslarının arttırılmasına

Detaylı

Gelişmiş Şifreleme Standardının - AES - FPGA Üzerinde Gerçeklenmesi

Gelişmiş Şifreleme Standardının - AES - FPGA Üzerinde Gerçeklenmesi Gelişmiş Şifreleme Standardının - AES - FPGA Üzerinde Gerçeklenmesi K. V. Dalmışlı, B. Örs İstanbul Teknik Üniversitesi Elektrik-Elektronik Fakültesi Maslak, Istanbul dalmisli@itu.edu.tr Siddika.Ors@itu.edu.tr

Detaylı

ANAHTARLI RELÜKTANS MOTORUN SAYISAL HIZ KONTROLÜ

ANAHTARLI RELÜKTANS MOTORUN SAYISAL HIZ KONTROLÜ ANAHTARLI RELÜKTANS MOTORUN SAYISAL HIZ KONTROLÜ Zeki OMAÇ Hasan KÜRÜM Fırat Üniversitesi Bingöl Meslek Yüksekokulu Bingöl Fırat Üniversitesi Mühendislik Fakültesi Elektrik - Elektronik Mühendisliği Bölümü

Detaylı

Matrislerde Gauss Jordan Yöntemi ve Eşelon Matris Biçimlerinin Performans Ölçümü

Matrislerde Gauss Jordan Yöntemi ve Eşelon Matris Biçimlerinin Performans Ölçümü Matrislerde Gauss Jordan Yöntemi ve Eşelon Matris Biçimlerinin Performans Ölçümü Ahmet Çelik 1, Zekeriya Katılmış 2 1,2 Dumlupınar Üniversitesi, Bilgisayar Teknolojileri ve Programlama Bölümü, Kütahya

Detaylı

Bilgisayara Giriş. Bilgisayarlar ilk geliştirilmeye başlandıklarından bugüne kadar geçen süre içerisinde oldukça değişmişlerdir.

Bilgisayara Giriş. Bilgisayarlar ilk geliştirilmeye başlandıklarından bugüne kadar geçen süre içerisinde oldukça değişmişlerdir. Bilgisayara Giriş Bilgisayarlar ilk geliştirilmeye başlandıklarından bugüne kadar geçen süre içerisinde oldukça değişmişlerdir. Bilgisayarın Gelişimi Bilgisayarlar daha hızlı hesap yapmak için geliştirilen

Detaylı

DONANIM. 1-Sitem birimi (kasa ) ve iç donanım bileşenleri 2-Çevre birimleri ve tanımlamaları 3-Giriş ve çıkış donanım birimleri

DONANIM. 1-Sitem birimi (kasa ) ve iç donanım bileşenleri 2-Çevre birimleri ve tanımlamaları 3-Giriş ve çıkış donanım birimleri DONANIM 1-Sitem birimi (kasa ) ve iç donanım bileşenleri 2-Çevre birimleri ve tanımlamaları 3-Giriş ve çıkış donanım birimleri DONANIM SİSTEM BİRİMİ ÇEVREBİRİMLERİ Ana Kart (Mainboard) Monitör İşlemci

Detaylı

Ders: MAT261 Konu: Matrisler, Denklem Sistemleri matrisi bulunuz. olmak üzere X = AX + B olacak şekilde bir X 1.

Ders: MAT261 Konu: Matrisler, Denklem Sistemleri matrisi bulunuz. olmak üzere X = AX + B olacak şekilde bir X 1. Ders: MAT6 Konu: Matrisler, Denklem Sistemleri. A = matrisi bulunuz.. A = a b c d e f ve B = ÇALIŞMA SORULARI- olmak üzere X = AX + B olacak şekilde bir X matrisi satır basamak hale getirildiğinde en fazla

Detaylı

Optik Sürücüler CD/CD-ROM DVD HD-DVD/BLU-RAY DİSK Disket Monitör LCD LED Projeksiyon Klavye Mouse Mikrofon Tarayıcı

Optik Sürücüler CD/CD-ROM DVD HD-DVD/BLU-RAY DİSK Disket Monitör LCD LED Projeksiyon Klavye Mouse Mikrofon Tarayıcı 1 Donanım Bileşenleri ve Çalışma Prensipleri Anakart (Mainboard) İşlemci (Cpu) Ekran Kartı Bellekler Ram Rom Ses Kartı Ağ Kartı TV Kartı Sabit Diskler HDD HHD SSD Optik Sürücüler CD/CD-ROM DVD HD-DVD/BLU-RAY

Detaylı

Bilgisayar Donanımı Dersi BİLGİSAYARIN MİMARI YAPISI VE ÇALIŞMA MANTIĞI

Bilgisayar Donanımı Dersi BİLGİSAYARIN MİMARI YAPISI VE ÇALIŞMA MANTIĞI Bilgisayar Donanımı Dersi BİLGİSAYARIN MİMARI YAPISI VE ÇALIŞMA MANTIĞI Bilgisayarın Mimarı Yapısı ve Çalışma Mantığı Bilgisayarı yapısal olarak; bilgilerin girilmesi, girilen bilgilerin belirtilen durumlara

Detaylı

DERS 6. Çok Değişkenli Fonksiyonlarda Maksimum Minimum

DERS 6. Çok Değişkenli Fonksiyonlarda Maksimum Minimum DERS Çok Değişkenli onksionlarda Maksimum Minimum.. Yerel Maksimum Yerel Minimum. z denklemi ile tanımlanan iki değişkenli bir onksionu ve bu onksionun tanım kümesi içinde ab R verilmiş olsun. Tanım. Eğer

Detaylı

İŞLETİM SİSTEMİ İşletim sistemi kullanıcıyla bilgisayar donanımı arasında iletişim sağlayan programdır.

İŞLETİM SİSTEMİ İşletim sistemi kullanıcıyla bilgisayar donanımı arasında iletişim sağlayan programdır. İŞLETİM SİSTEMİ İşletim sistemi kullanıcıyla bilgisayar donanımı arasında iletişim sağlayan programdır. Programların ve donanımların kullanılması için bir çalıştırılması platformu oluşturur. Sistemin yazılım

Detaylı

DÖRT ROTORLU HAVA ARACI İÇİN GERÇEK ZAMANDA BULANIK MANTIKLA KONTROLÖR TASARIMI

DÖRT ROTORLU HAVA ARACI İÇİN GERÇEK ZAMANDA BULANIK MANTIKLA KONTROLÖR TASARIMI HAVACILIK VE UZAY TEKNOLOJİLERİ DERGİSİ TEMMUZ 203 CİLT 6 SAYI 2 (59-67) DÖRT ROTORLU HAVA ARACI İÇİN GERÇEK ZAMANDA BULANIK MANTIKLA KONTROLÖR TASARIMI Gökhan GÜL * Hava Harp Okulu HUTEN, Elektronik MühABD,

Detaylı

1. İŞLEMCİLER. İlk İşlemci

1. İŞLEMCİLER. İlk İşlemci KONU: BİOS-İŞLEMCİ 1. İŞLEMCİLER İşlemci- CPU (Central Processing Unit-Merkezi İşlem Birimi-MİB), bilgisayarın beyni olarak tanımlanır. Bu tanımlama, işlemcinin önemini belirtmek için söylenir. Bugün piyasada

Detaylı

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi Bil101 Bilgisayar Yazılımı I Bilgisayar Yüksek Mühendisi Kullanıcıdan aldığı veri ya da bilgilerle kullanıcının isteği doğrultusunda işlem ve karşılaştırmalar yapabilen, veri ya da bilgileri sabit disk,

Detaylı

Mikrobilgisayarlar ve Assembler. Bahar Dönemi. Vedat Marttin

Mikrobilgisayarlar ve Assembler. Bahar Dönemi. Vedat Marttin Mikrobilgisayarlar ve Assembler Bahar Dönemi Vedat Marttin Bellek Haritası Mikroişlemcili örnek bir RAM, ROM ve G/Ç adres sahalarının da dahil olduğu toplam adres uzayının gösterilmesinde kullanılan sisteme

Detaylı

EEM 306 Mikroişlemciler ve Lab. Doç.Dr. Mehmet SAĞBAŞ

EEM 306 Mikroişlemciler ve Lab. Doç.Dr. Mehmet SAĞBAŞ EEM 306 Mikroişlemciler ve Lab. Doç.Dr. Mehmet SAĞBAŞ Sayısal Sistemler ASIC (Application Specific Integrated Circuits) Belirli bir işlev için tasarlanırlar Performansları yüksektir Maliyetleri yüksektir

Detaylı

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN Bilgisayar Mühendisliğine Giriş Yrd.Doç.Dr.Hacer KARACAN Mikroişlemci Nedir? Bir bilgisayarın en önemli parçası Mikroişlemcisidir. Hiçbir bilgisayar mikroişlemci olmadan çalışamaz. Bu nedenle Mikroişlemci

Detaylı