Programlanabilir Devreler

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "Programlanabilir Devreler"

Transkript

1 Programlanabilir Devreler Testbench & Simülasyon

2 İçerik Tasarlamış olduğumuz sayısal sistemlerin fonksiyonel olarak istenildiği gibi gerçekleştirdiğini doğrulamak gerekir. Verilog ve VHDL gibi donanım tanımlama dilleri (HDL) donanım tasarımında kullanıldığı gibi, test aşamasında da kullanılabilmektedir. Bu sunum tasarlamış olduğumuz sayısal sistemlerin ile verilog testbech ile ModelSim ile simulasyonunu ve doğrulanmasını gösterecektir.

3 Testbench & Simulasyon Sayısal devre simulasyonu ile tasarlamış olduğumuz sayısal sistemin ve bunun alt modüllerinin gerçeklendiğinde çalışması hakkında bilgi edinilir. Bu simülasyon ile tasarlanmak istenen sistem bilinen girişler ile test edilerek beklenen sonuçlar elde edildiği doğrulanır. Test edilen modül DUT(Device Under Test) olarak adlandırılır. Testbench, test girişleri ve DUT içeren ve simulasyon sonuçlarını programsal olarak değerlendirmemize yardımcı bir modüldür. Tüm tasarlanan sistem için testbench oluşturulabileceği gibi kullanılan her bir modülün testi için testbench ler de oluşturulabilir.

4 Akış

5 Quartus II & ModelSim-Altera Ayarları ModelSim-Altera Starter Ed. Kurulur. Quartus a ModelSim bağlantı ayarları yapılır. Tools > Options > EDA Tool Options Eda Tool: «ModelSim-Altera» için kurulum klasörü seçilir. Ör: C:\altera\11.0\modelsim_ase\win32aloem

6 Quartus II ile Verilog Testbench oluşturma Proje açılır. Assignments > Settings > EDA Tool Settings > Simulation Tool Name: «ModelSim-Altera» olarak seçilir. EDA NetList writer Settings > Format for output netlist : «Verilog» seçilir Processing > Start > Start Test Bench Template Writer Mesajlarda «proje_adi».vt adında bir verilog testbench dosyası hazırlandığı belirtilir. File > Open, Dosya Türü olarak «Test Bench Output Files» seçip. Testbench dosyasını proje klasörü içindeki «simulation\modelsim» klasöründen açabiliriz.

7 TestBench

8 Verilog Testbench Verilog testbench in ilk satırı olarak simulasyonda gecikmelerin zaman birimi ve yuvarlama miktarı belirtilir. Ör: `timescale 1 ns/ 100 ps # 10, 10 ns gecikmeye neden olur. Simulasyonda zaman hesaplamaları 100ps(0.1ns) detaylı olarak yapılır.

9 Verilog Testbench Verilog testbench ana modülü input veya output portları yoktur. Testbench ile DUT/test edilecek modülü içerir ve bu modüle bağlantılı giriş ve çıkış reg ve net tipinde sinyalleri tanımlanır, bu sinyallere atamalar yapılır ve sonuçları incelenir. Reg ve wire ile stimilu (test giriş) sinyalleri tanımlanır initial İnitial bloğu ile reg tipinde sinyallere ilk değer atamaları yapılır. İlk değer ataması yapılmayan reg tipindeki sinyallerin ilk değeri «x» olarak, wire tipindeki sinyaller ise «z» değerlendirilir. intial ve always blokları ile stimilu uygulanarak sonuçlar incelenebilir.

10 Simulasyon Simulasyon da initial ve always blokları t=0 anında paralel çalışmaya başladığı unutulmamalıdır. (Önce initial çalışıp sonra always blokları çalışmaz.) Sequential devreler için Clock ve Reset sinyallerine ihtiyaç duyulur. `timescale 1 ns/ 100 ps reg clk_50, rst; initial begin clk_50 = 1 b0; // at time 0 rst = 1 b1; // reset is active #20 rst = 1 b0; // at time 20 release reset End always #10 clk_50 = ~clk_50;

11 Verilog System Tasks Simulasyon sırasında verilog sistem task larını kullanabiliriz. $display Simülasyon sırasında ilgili işlem satırında konsola çıktı verir. Ör:$display("Running test bench"); $display, çeşitli format karakterleri içerir. %h Hex, %b binary, %d decimal Ör: $display("counter value : %d ", counter); $time ile simulasyonun o anki zamanıda çıktı alınabilir. Ör: $display($time,"pos value : %h ", pos);

12 Verilog System Tasks $monitor $monitor sistem task ı ile belirtilen sinyallerden herhangi biri değiştiğinde konsola çıktı verir. Kullanım şekli $display ile aynıdır. Ör: $monitor($time, " clk_50=%b, rst=%b, enable=%b, counter=%h ", clk_50, rst, enable, counter,); $stop Simülayonu komutun işlendiği noktada dondurur. $finish Simulasyonu sonlandırır. $fopen ve $fdisplay ile dosyaya çıktı verilebilir.

13 ModelSim

14 ModelSim Testbench seçimi

15 ModelSim

16 ModelSim Quartus II & ModelSim ile RTL ve Gate Level Simulasyon yapılabilir. RTL simulasyonu Sentezleme sonucunda elde edilecek sayısal sistemin fonksiyonel testleri yapılır. Gate Level simulasyonda ise fitter sonrasında FPGA üzerindeki yerleşimden kaynaklanan yol ve lojik gecikmelerinde dikkate alınır. Tools> Run EDA Simulation Tool > EDA RTL Simulation Tools> Run EDA Simulation Tool > EDA Gate Level Simulation

Verilog HDL e Giriş Bilg. Yük. Müh. Selçuk BAŞAK

Verilog HDL e Giriş Bilg. Yük. Müh. Selçuk BAŞAK Verilog HDL e Giriş Bilg. Yük. Müh. Selçuk BAŞAK SelSistem Bilgi ve İletişim Teknolojileri www.selsistem.com.tr Donanım Tanımlama Dilleri - HDL İlk olarak 1977 yılında, ISP(Instruction Set Processor) -

Detaylı

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur.

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur. HDL Dilleri HDL(Donanım Tanımlama Dili); tasarımın, HDL dillerinden her hangi bir tanesinin kullanılarak yapılmasıdır. HDL bir donanım parçasını modellemek için kullanılan yazılım dilidir. VHDL ile Verilog

Detaylı

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Altera fpga kartları için derleyici programı Quartus tur. Aşağıdaki linkten quartus programı indirilebilir; https://www.altera.com/download/dnl-index.jsp

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI DENEY II: QUARTUS II TASARIM ORTAMINA VE VERILOG PROGRAMLAMA İLE TASARIMA GİRİŞ Amaçlar: Bu deneyde ALTERA

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI DENEY V : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI AMAÇLAR: ALTERA tarafından geliştirilen son teknoloji

Detaylı

SAYISAL VLSI SİSTEM TASARIM AKIŞI

SAYISAL VLSI SİSTEM TASARIM AKIŞI SAYISAL VLSI SİSTEM TASARIM AKIŞI 1 Tasarım Öncesi: Ürünle ilgili bilgilerin olgunlaştırılması: kullanım yeri/amacı? yıllık gereksinim (sayı)? teknik gereksinimler/özellikler (spec.)? Fizibilite çalışması:

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Display Kontrol ve (0-9999) Sayıcı Uygulaması 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda deneme kartımız üzerinde bulunan 8 adet (4x2 display

Detaylı

FPGA ile 2x16 LCD Uygulaması

FPGA ile 2x16 LCD Uygulaması FPGA ile 2x16 LCD Uygulaması FPGA İLE UYGULAMA ÖRNEKLERİ 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen uygulamalar günden güne giderek çeşitlenmektedir. FPGA ların üstünlükleri/eksiklikleri,

Detaylı

03.03.2014 VERILOG. Modüller

03.03.2014 VERILOG. Modüller VERILOG Modüller Devre bileşenleri module içinde tasarlanır. Modüller hem yapısal hem de davranışsal ifadeleri içerebilir. Yapısal ifadeler lojik kapılar, sayaçlar ve mikroişlemciler gibi devre bileşenlerini

Detaylı

LAB 0 : Xilinx ISE Kullanımı

LAB 0 : Xilinx ISE Kullanımı LAB 0 : Xilinx ISE Kullanımı 1. Proje Yaratma a. Xilinx ISE programını açınız. b. File à New Project menüsünü seçiniz. New Project Wizard diyalog penceresi açılacaktır. c. New Project Wizard diyalog penceresinde

Detaylı

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Programlanabilir Lojik Basit Programlanabilir Lojik Cihazlar (Simple Programmable Logic Device - SPLD) ** PAL (Programmable

Detaylı

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER PROJE OLUŞTURMA ŞEMATİK DOSYASI OLUŞTURULMASI VERILOG DOSYASI OLUŞTURULMASI TEST DOSYASI OLUŞTURULMASI XILINX ISE SIMULATOR İLE BENZETİM YAPILMASI PİN

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI DENEY I: QUARTUS II TASARIM ORTAMINA GİRİŞ VE VHDL TEMELLERİNİ TANIMA Amaçlar: Bu deneyde ALTERA tarafından

Detaylı

Chapter1: Introduction. Ders 1: Giriş. Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008~2010, John Wiley 1-1

Chapter1: Introduction. Ders 1: Giriş. Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008~2010, John Wiley 1-1 Ders 1: Giriş Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008~2010, John Wiley 1-1 Programlanabilir Devreler Chapter1: Introduction CPLD (Complex Programmable Logic Device) FPGA

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Seri Haberleşme (RS232) Uygulaması

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Seri Haberleşme (RS232) Uygulaması FPGA ile Seri Haberleşme (RS232) Uygulaması 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda, geliştirme kartımız üzerinde bulunan giriş / çıkış pinlerini FT232RL

Detaylı

BİLGİSAYAR UYGULAMALARI Şırnak Üniversitesi Mühendislik Fakültesi Güz Dönemi Arş.Gör. Eren DEMİR ve Arş.Gör. Veysel KIŞ (

BİLGİSAYAR UYGULAMALARI Şırnak Üniversitesi Mühendislik Fakültesi Güz Dönemi Arş.Gör. Eren DEMİR ve Arş.Gör. Veysel KIŞ ( BİLGİSAYAR UYGULAMALARI Şırnak Üniversitesi Mühendislik Fakültesi 2018-19 Güz Dönemi Arş.Gör. Eren DEMİR ve Arş.Gör. Veysel KIŞ (e-mail: edemir@sirnak.edu.tr ) 04.10.2018 1 MATLAB da Workspace ve Workspace

Detaylı

FPGA ile Gömülü Sistem Tasarımına Giriş

FPGA ile Gömülü Sistem Tasarımına Giriş FPGA ile Gömülü Sistem Tasarımına Giriş Bilg. Yük. Müh. Selçuk BAŞAK SelSistem Bilgi ve İletişim Teknolojileri www.selsistem.com.tr Giriş Gömülü Sistemler Programlanabilir Lojik - SPLD FPGA & CPLD Donanım

Detaylı

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL Bu dönemki Bil264L dersinde kullanacağımız Xilinx ISE Web 14.7 programında nasıl proje oluşturacağımız, oluşturduğumuz devreleri nasıl test edeceğimiz ve bu devreleri

Detaylı

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI Yard. Doç. Dr. Özdemir ÇETİN Sunu Başlıklar kları 1. Amaç 2. Temel Bilgiler 1. SoC (System-On-Chip) nedir? 2. SoC donanım araçları ASIC (Application

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile PWM Sinyal Ü retim Üygulaması FPGA İLE UYGULAMA ÖRNEKLERİ 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda deneme kartımız üzerinde bulunan 1 adet LED

Detaylı

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL Bu dönemki Bil264L dersinde kullanacağımız Xilinx ISE Web 14.7 programında nasıl proje oluşturacağımız, oluşturduğumuz devreleri nasıl test edeceğimiz ve bu devreleri

Detaylı

OMNET++ 4.2.2. Ağ Benzetim Yazılımı (Network Simulation Framework) BİL 372 Bilgisayar Ağları. GYTE - Bilgisayar Mühendisliği Bölümü

OMNET++ 4.2.2. Ağ Benzetim Yazılımı (Network Simulation Framework) BİL 372 Bilgisayar Ağları. GYTE - Bilgisayar Mühendisliği Bölümü Bilgisayar Mühendisliği Bölümü OMNET++ 4.2.2 Ağ Benzetim Yazılımı (Network Simulation Framework) BİL 372 Bilgisayar Ağları OMNET++ OMNET++ (Objective Modular Network Testbed in C++), nesneye yönelik (objectoriented)

Detaylı

Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları

Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları 2018-2019 Güz Yarıyılı Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları Dr.Öğr.Üyesi Anıl Çelebi OV7670 kamera üzerinden VGA üzerinden görüntü aktarma ve video sinyal işleme. Bu çalışmada OV7670 sensöründen

Detaylı

Digital Design HDL. Dr. Cahit Karakuş, February-2018

Digital Design HDL. Dr. Cahit Karakuş, February-2018 Digital Design HDL Dr. Cahit Karakuş, February-2018 NOT, AND, and OR Gates NAND and NOR Gates DeMorgan s Theorem Exclusive-OR (XOR) Gate Multiple-input Gates Basic Logic Gates and Basic Digital Design

Detaylı

1S Servolarda Safety Over Ethercat (FSoE) İÇİNDEKİLER Giriş FSoE Protokolü hakkinda FSoE Aktivasyonu ve konfigürasyonu FSoE Safety Programı

1S Servolarda Safety Over Ethercat (FSoE) İÇİNDEKİLER Giriş FSoE Protokolü hakkinda FSoE Aktivasyonu ve konfigürasyonu FSoE Safety Programı 1S Servolarda Safety Over Ethercat (FSoE) İÇİNDEKİLER Giriş FSoE Protokolü hakkinda FSoE Aktivasyonu ve konfigürasyonu FSoE Safety Programı 1.Giriş 1S servolar EtherCAT ağı üzerinden güvenlik haberleşmesini

Detaylı

www.mekatroncnc.com.tr

www.mekatroncnc.com.tr Sayfa 1 Sayfa 2 Sayfa 3 MACH3 PROGRAMI KULLANMA KLAVUZU 1. ADIM: İlk olarak MACH3 MILL programı içerisine giriyoruz ve alttaki ekran karşımıza geliyor.(reset butonun yeşil yanmasına dikkat ediyoruz ve

Detaylı

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK Yıldız Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü Lisans Üstü Semineri Bahar 2009 Giriş Programlanabilir Lojik - SPLD FPGA

Detaylı

Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose

Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose Mehmet BAKACAK, Taner TOPAL Bilgisayar Mühendisliği Kırıkkale

Detaylı

İçindekiler FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ SİSTEMİ ŞEMASI:... 5 FPGA GELİŞTİRME KARTINA PROGRAM YÜKLEME:... 6

İçindekiler FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ SİSTEMİ ŞEMASI:... 5 FPGA GELİŞTİRME KARTINA PROGRAM YÜKLEME:... 6 Hazırlayan: Erkan ÇİL 2016 2 FPGA Geliştirme Kartı Kullanıcı Kılavuzu İçindekiler FPGA Geliştirme Kartı Bilgileri FPGA Geliştirme Kartının Kullanımı FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ

Detaylı

B.Ç. / E.B. MİKROİŞLEMCİLER

B.Ç. / E.B. MİKROİŞLEMCİLER 1 MİKROİŞLEMCİLER RESET Girişi ve DEVRESİ Program herhangi bir nedenle kilitlenirse ya da program yeniden (baştan) çalıştırılmak istenirse dışarıdan PIC i reset yapmak gerekir. Aslında PIC in içinde besleme

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #5 16 Bitlik R Tipi İçin ALTERA MAX-PLUS-II VHDL de Tek Saat Veri Yolu Birimi 1.Giriş Bu deneyde

Detaylı

MX2-CJ2M ETHERNET/IP HABERLEŞMESİ

MX2-CJ2M ETHERNET/IP HABERLEŞMESİ MX2-CJ2M ETHERNET/IP HABERLEŞMESİ İÇİNDEKİLER Giriş 3G3AX-MX2-EIP-A ve montajı 3G3MX2 invertör parametre ayarları Cx-Programmer da PLC ye IP atama Network Configurator ile tag tanımlama CJ2M-CPU33 konfigürasyonu

Detaylı

T.C. RC SERVO MOTOR KONTROLÜ

T.C. RC SERVO MOTOR KONTROLÜ T.C. BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ RC SERVO MOTOR KONTROLÜ İBRAHİM ALİ METİN BİLECİK 30 Mart 2015 T.C. BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ MÜHENDİSLİK

Detaylı

MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK

MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK 1.1 Programın Başlatılması 1.2 Yeni Proje Oluşturma 1.3 MCU Seçimi Yrd.Doç.Dr.Bülent Çobanoğlu 1.4 MCU Programlama Dil Seçimi 1.5 Proje İsmi

Detaylı

Hamming Kodlamasının FPGA Ortamında Gerçekleştirilmesi

Hamming Kodlamasının FPGA Ortamında Gerçekleştirilmesi 6 th International Advanced Technologies Symposium (IATS ), 6-8 May 2, Elazığ, Turkey Hamming Kodlamasının FPGA Ortamında Gerçekleştirilmesi G. Yıldırım T. Tuncer 2 Y. Tatar 2 Devlet Su İşleri, gyildirim@dsi.gov.tr

Detaylı

MX2-NJ ETHERNET/IP HABERLEŞMESİ

MX2-NJ ETHERNET/IP HABERLEŞMESİ MX2-NJ ETHERNET/IP HABERLEŞMESİ İÇİNDEKİLER Giriş 3G3AX-MX2-EIP-A ve montajı 3G3MX2 invertör parametre ayarları Sysmac Studio da NJ501 e IP atama Sysmac Studio da tag oluşturma Network Configurator ile

Detaylı

Opera V2 Kurulum Klavuzu V0.01

Opera V2 Kurulum Klavuzu V0.01 İçindekiler 1. MySQL Kurulumu... 2 2. MySQL Ayarlarının Yapılması... 3 3. Veritabanı Kurulumu...4 4. ISP Opera Kurulumu... 5 5. ISP Opera Lisanslama... 5 6. Programa giriş yapmak... 5 7. Merkez Ekleme...

Detaylı

ÜÇ ÇUBUK MEKANİZMASI ÖRNEĞİ

ÜÇ ÇUBUK MEKANİZMASI ÖRNEĞİ ÜÇ ÇUBUK MEKANİZMASI ÖRNEĞİ Şekil 1: Üç çubuk mekanizması Şekil 1 de görülen üç çubuk mekanizmasının Adams programı yardımıyla çözeceğiz. Adams programının başlatılması. Şekil 2: Adams programının başlatılması

Detaylı

ORACLE TNS (TRANSPARENT NETWORK SUBSTRATE) LISTENER YAPILANDIRMASI

ORACLE TNS (TRANSPARENT NETWORK SUBSTRATE) LISTENER YAPILANDIRMASI ORACLE TNS (TRANSPARENT NETWORK SUBSTRATE LISTENER YAPILANDIRMASI 1 Farklı ortamlardan, kurduğunuz veritabanına bağlanılması listener yapılandırmasıyla sağlanır. Genellikle standart olan ayarlarla 1521

Detaylı

VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması

VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması 6 th International Advanced Technologies Symposium (IATS 11), 16-18 May 2011, Elazığ, Turkey VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması S. Uzun 1, M. R. Canal 2, M.

Detaylı

Temel Kavramlar-2. Aşağıda depolama aygıtlarının kapasitelerini inceleyebilirsiniz.

Temel Kavramlar-2. Aşağıda depolama aygıtlarının kapasitelerini inceleyebilirsiniz. Temel Kavramlar-2 Byte = 8 Bit in bir araya gelmesiyle oluşan bellektir. Bilgisayarın tanıdığı harf rakam ve özel karakterlerden her biri 1 byte lık yer kaplar. Yani her bir harfin 1 veya 0 dan oluşan

Detaylı

Windows Server 2003 sistemde oluşabilecek hataları giderebilmemiz için bize bir çok araç sunmaktadır. Bunlar:

Windows Server 2003 sistemde oluşabilecek hataları giderebilmemiz için bize bir çok araç sunmaktadır. Bunlar: Bir sistem yöneticisi olarak başlıca görevlerimizden biri, sunucu sistemlerin her zaman çalışır durumda olmalarını sağlamaktır. Oluşabilecek hataları önceden tahmin edip, gidermeliyiz. Ancak bu yeterli

Detaylı

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi Buse Ustaoğlu Berna Örs Yalçın İçerik Giriş Çalişmanın Amacı Mikroişlemciye Hata Enjekte Etme Adımları Hata Üreteci Devresi

Detaylı

KDV kısım numaraları Pos cihazındaki KDV departman numaralarına göre tanımlandıktan sonra F2-Kaydet butonu ile bu bölüm kayıt edilir.

KDV kısım numaraları Pos cihazındaki KDV departman numaralarına göre tanımlandıktan sonra F2-Kaydet butonu ile bu bölüm kayıt edilir. 18 Kasım 2009 Đlgili Versiyon/lar : ETA:SQL, ETA:V.8-SQL Đlgili Modül/ler : Transfer ETA:V.8-SQL ve ETA:SQL ile ĐNTER-POS CĐHAZI ARASINDA VERĐ TRANSFERĐ Süpermarket, benzin istasyonu shop/market ve çeşitli

Detaylı

Matlab & Simulink MATLAB SIMULINK

Matlab & Simulink MATLAB SIMULINK Matlab & Simulink MATLAB SIMULINK Simulink Oturumunu Başlatma SIMULINK icon üzerine tıkla Veya Matlab komut satırında simulink Yaz Simulink Kütüphanesi Yeni model iconu oluşturma Arama penceresi Model

Detaylı

NJ-NJ ETHERNET/IP HABERLEŞMESİ

NJ-NJ ETHERNET/IP HABERLEŞMESİ NJ-NJ ETHERNET/IP HABERLEŞMESİ İÇİNDEKİLER Giriş NJ Kontrolcü Ayarlarının yapılması Sysmac Studio da Global Değişken Atama Ethernet/IP Bağlantı Ayarlarının yapılması Sysmac Studio da Değişkenlerin İzlenmesi

Detaylı

KAVRAMSAL ENERJĐ ANALĐZĐ

KAVRAMSAL ENERJĐ ANALĐZĐ KAVRAMSAL ENERJĐ ANALĐZĐ Kavramsal tasarım, binanın temel biçiminin tanımlandığı erken tasarım evresidir. Bu evrede biçim ile ilgili kararları verirken, enerji analizi yapılarak tasarlanan biçiminin ne

Detaylı

VHDL. Ece Olcay Güneş & S. Berna Örs

VHDL. Ece Olcay Güneş & S. Berna Örs VHDL Ece Olcay Güneş & S. Berna Örs Giriş VHDL VHSIC Hardware Description Language in kısaltmasıdır. VHSIC Very High Speed Integrated Circuit in kısaltmasıdır. VHDL dışında da pekçok donanım tasarlama

Detaylı

BİLGİSAYAR DESTEKLİ TASARIM II

BİLGİSAYAR DESTEKLİ TASARIM II 0 BÖLÜM 1 ORCAD PROGRAMINA GİRİŞ: OR-CAD programını başlatmak için Başlat menüsünden programlara gelinir. Programların içerisinde ORCAD Release 9 ve bunun içerisinden de ORCAD Capture seçilir. Karşımıza

Detaylı

FRONT PAGE EĞİTİM NOTLARI BAŞLANGIÇ. 1- Open araç çubuğu düğmesinin yanındaki aşağı oku tıklayarak, web seçeneğini işaretleyin

FRONT PAGE EĞİTİM NOTLARI BAŞLANGIÇ. 1- Open araç çubuğu düğmesinin yanındaki aşağı oku tıklayarak, web seçeneğini işaretleyin FRONT PAGE EĞİTİM NOTLARI BAŞLANGIÇ 1- Open araç çubuğu düğmesinin yanındaki aşağı oku tıklayarak, web seçeneğini işaretleyin 2- Açılan sayfadan, oluşturulmak istenen sitenin içeriğine göre hazır şablon

Detaylı

MY PANEL METER KULLANIM KILAVUZU

MY PANEL METER KULLANIM KILAVUZU MY PANEL METER KULLANIM KILAVUZU EPA100 cihazınızı USB ile bilgisayarınıza bağlayarak çeşitli ayarlar yapabilir, okunan değerlerin grafiğini çıkartabilir, cihaz üzerinde yaptığınız bütün fonksiyonlara

Detaylı

ABAQUS Programına Giriş Kullanılacak Sürümler

ABAQUS Programına Giriş Kullanılacak Sürümler ABAQUS Programına Giriş Kullanılacak Sürümler (1) Abaqus Öğrenci Sürümü (Student Edition) (Abaqus SE): Akademik öğrenciler tarafında indirilebilen ücretsiz Sonlu Elemanlar probram sürümüdür. İndirilme

Detaylı

Sistem Programlama. (*)Dersimizin amaçları Kullanılan programlama dili: C. Giriş/Cıkış( I/O) Sürücülerinin programlaması

Sistem Programlama. (*)Dersimizin amaçları Kullanılan programlama dili: C. Giriş/Cıkış( I/O) Sürücülerinin programlaması Sistem Programlama Sistem programlama bilgisayar mühendisliğinin bir alanı olup karmaşık sistemlerin ve bu sistemlerin parçalarının ile ilgilenir. İşletim Sistemlerinin Programlaması Giriş/Cıkış( I/O)

Detaylı

Önsöz. İçindekiler Algoritma Algoritma Nasıl Hazırlanır? Yazılımda Algoritma Mantığı Nedir? 1.2. Algoritma Örnekleri ve Sorular

Önsöz. İçindekiler Algoritma Algoritma Nasıl Hazırlanır? Yazılımda Algoritma Mantığı Nedir? 1.2. Algoritma Örnekleri ve Sorular Önsöz Giriş İçindekiler V VII IX 1.1. Algoritma 1.1.1. Algoritma Nasıl Hazırlanır? 1.1.2. Yazılımda Algoritma Mantığı Nedir? 1.2. Algoritma Örnekleri ve Sorular 2.1. Programın Akış Yönü 19 2.2. Başlama

Detaylı

Föy Kitapçıg ı O NDOKUZ M AYIS Ü N I VERS I TES I B I LG I SAYAR M ÜHEND I SL I G I B ÖLÜMÜ S AYISAL TASARIM L ABORATUVARI

Föy Kitapçıg ı O NDOKUZ M AYIS Ü N I VERS I TES I B I LG I SAYAR M ÜHEND I SL I G I B ÖLÜMÜ S AYISAL TASARIM L ABORATUVARI O NDOKUZ M AYIS Ü N I VERS I TES I B I LG I SAYAR M ÜHEND I SL I G I B ÖLÜMÜ S AYISAL TASARIM L ABORATUVARI Föy Kitapçıg ı Düzenleyenler: Doç. Dr. Erdal KILIÇ Erdem ALKIM 12 S ubat 213 Sayısal Tasarım

Detaylı

Metin İşlemleri, Semboller

Metin İşlemleri, Semboller Ankara Üniversitesi Nallıhan Meslek Yüksekokulu Metin İşlemleri, Semboller NBP108 - GRAFİK ANİMASYON il Öğr.Gör. Salih ERDURUCAN 1 / 13 3. METİN İŞLEMLERİ 3.1. Metin Aracı Animasyon yazılımı yazı yazmak

Detaylı

Buse Ustaoğlu 1, Berna Örs Yalçın 2. İstanbul Teknik Üniversitesi ustaoglubu@itu.edu.tr. İstanbul Teknik Üniversitesi siddika.ors@itu.edu.tr.

Buse Ustaoğlu 1, Berna Örs Yalçın 2. İstanbul Teknik Üniversitesi ustaoglubu@itu.edu.tr. İstanbul Teknik Üniversitesi siddika.ors@itu.edu.tr. Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi ve Hata Tespit Mekanizmasının Gerçeklenmesi Improvement Fault Injection Method In A Microprocessor Based System and Implementation

Detaylı

DVP-SV2 SERİSİ PLC YÜKSEK HIZLI PALS GİRİŞLERİ COUNTER TABLOSU

DVP-SV2 SERİSİ PLC YÜKSEK HIZLI PALS GİRİŞLERİ COUNTER TABLOSU DVP-SV2 SERİSİ PLC YÜKSEK HIZLI PALS GİRİŞLERİ COUNTER TABLOSU DELTA ES5 SERİSİ OPEN COLLECTOR ENCODER KABLO RENKLERİ KAHVERENGİ ENCODER BESLEMESİ (24VDC) MAVİ ENCODER BESLEMESİ (0VDC) SİYAH ENCODER OUTPUT

Detaylı

INTERNET INFORMATION SERVICES 6.0 DA WEB SAYFASI YAYINLAMAK

INTERNET INFORMATION SERVICES 6.0 DA WEB SAYFASI YAYINLAMAK INTERNET INFORMATION SERVICES 6.0 DA WEB SAYFASI YAYINLAMAK INTERNET INFORMATION SERVICES 6.0 da (IIS 6.0) Web Sayfası yayınlamak için ilk olarak IIS 6.0 ın kurulu olması gereklidir. IIS Windows ta default

Detaylı

BIND ile DNS Sunucu Kurulumu

BIND ile DNS Sunucu Kurulumu BIND ile DNS Sunucu Kurulumu BIND Nedir? BIND bir dns sunucusu yani unix ve linux sistemler üzerinde dns servis hizmetini veren başarılı bir daemondur. Kısacası en popüler DNS sunucu yazılımı BIND dir.

Detaylı

Havadan Suya Isı Pompası Seçim Programı / ver.1.4

Havadan Suya Isı Pompası Seçim Programı / ver.1.4 Havadan Suya Isı Pompası Seçim Programı / ver.1.4 (Kullanma Klavuzu) Mart, 2011 1 İçindekiler 1. Hazırlık (Programın yüklenmesi) 2.Programın Kullanılması 3.Örnek çalışma 3-1. Enerji hesaplaması 3-2. Sonuç

Detaylı

PLC DE PROGRAMIN YÜRÜTÜLMESİ

PLC DE PROGRAMIN YÜRÜTÜLMESİ PLC DE PROGRAMIN YÜRÜTÜLMESİ Başlangıç PLC de programın yürütülmesi, yukarıdaki şekilde görüldüğü gibi belirli işlemlerin sürekli periyodik bir çevrim halinde yapılması ile gerçeklenir. Kesmeli çalışma,

Detaylı

TAPU VERİ SAYISALLAŞTIRMA YAZILIMI KURULUM DÖKÜMANI. Tapu Kadastro Genel Müdürlüğü-Bilgi Teknolojileri Daire Başkanlığı Page 1

TAPU VERİ SAYISALLAŞTIRMA YAZILIMI KURULUM DÖKÜMANI. Tapu Kadastro Genel Müdürlüğü-Bilgi Teknolojileri Daire Başkanlığı Page 1 TAPU VERİ SAYISALLAŞTIRMA YAZILIMI KURULUM DÖKÜMANI Tapu Kadastro Genel Müdürlüğü-Bilgi Teknolojileri Daire Başkanlığı Page 1 Tapu Kadastro Genel Müdürlüğü-Bilgi Teknolojileri Daire Başkanlığı Page 2 Bu

Detaylı

PSPICE Đ NASIL KULLANIRIM

PSPICE Đ NASIL KULLANIRIM PSPICE Đ NASIL KULLANIRIM 1. File New Project e tıklayalım. 2. Create a blank project e tıklayıp OK diyelim. 1 Yeni bir şematik otomatik olarak açılır. Ekranın sağ tarafında Tool Panel vardır. Devre elemanlarını,

Detaylı

Gerekli bağlantıları yapıp, ACS420 V3.03 programını çalıştırınız. Program açıldığında, LMS14 ün içindeki parametrelerin okunmasını bekleyiniz.

Gerekli bağlantıları yapıp, ACS420 V3.03 programını çalıştırınız. Program açıldığında, LMS14 ün içindeki parametrelerin okunmasını bekleyiniz. Gerekli bağlantıları yapıp, ACS420 V3.03 programını çalıştırınız. Program açıldığında, LMS14 ün içindeki parametrelerin okunmasını bekleyiniz. Aşağıdaki pencereyi gördükten sonra cihazınız parametre ayarı

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SYISL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı ÖLÜM 3 Mantık Geçitleri Değil (Inverter) Geçidi İnverter geçidi oolean NOT işlemini yapar. Giriş YÜKSEK olduğunda çıkışını DÜŞÜK, giriş DÜŞÜK

Detaylı

MICROSOFT WORD 2002. Şekil 1

MICROSOFT WORD 2002. Şekil 1 MICROSOFT WORD 2002 Microsoft Word 2002, bir kelime işlem programı olup, Microsoft Office XP bileşenidir. Word 2002 programı kullanılarak, mektup, rapor, kitap gibi belgeler hazırlanabilir. Bu belgelere

Detaylı

OPNET PROJECT EDİTÖRDE. Doç. Dr. Cüneyt BAYILMIŞ

OPNET PROJECT EDİTÖRDE. Doç. Dr. Cüneyt BAYILMIŞ BSM 532 KABLOSUZ AĞLARIN MODELLEMESİ VE ANALİZİ OPNET PROJECT EDİTÖRDE UYGULAMA GELİŞTİRME - 1 - Doç. Dr. Cüneyt BAYILMIŞ 1 OPNET MODELER PROJE EDİTÖRDE UYGULAMA GELİŞTİRME KABLOSUZ AĞ KURULUMU AD-HOC

Detaylı

HTML Bloklar. CSS Display özelliği

HTML Bloklar. CSS Display özelliği HTML Bloklar Tüm HTML etiketleri için varsayılan bir görünüm değeri vardır ve blok yada satıriçi olarak belirtilir. Blok tipindeki etiketler her zaman yeni bir satırda başlar ve tüm satır boyunca mümkün

Detaylı

SPSS (Statistical Package for Social Sciences)

SPSS (Statistical Package for Social Sciences) SPSS (Statistical Package for Social Sciences) SPSS Data Editor: Microsoft Excel formatına benzer satır ve sütunlardan oluşan çalışma sayfası (*sav) Data Editör iki arayüzden oluşur. 1. Data View 2. Variable

Detaylı

GĐRĐŞ. 1 Nisan 2009 tarihinde BDP programının yeni bir sürümü yayınlanmış ve bu sürümde yapılan değişikliklere

GĐRĐŞ. 1 Nisan 2009 tarihinde BDP programının yeni bir sürümü yayınlanmış ve bu sürümde yapılan değişikliklere e-bildirge Versiyon : Yakamoz 3.6.7.7 ve Genel Muhasebe 3.6.7.8 İlgili Programlar : Yakamoz ve üstü ticari paketler & Genel Muhasebe Tarih : 02.04.2009 Doküman Seviyesi (1 5) : 3 (Tecrübeli Kullanıcı)

Detaylı

Module 2 Managing User And Computer accounts

Module 2 Managing User And Computer accounts 16 Creating Users Accounts With Command ( Komutla Kullanıcı Hesabı Oluşturma ) Organization Unit i komutla oluşturmak istersek aşağıda bulunan şekildeki yönergeleri takip ediyoruz. Module 2 Managing User

Detaylı

TachoMobile Server Uygulaması Kullanım Kılavuzu

TachoMobile Server Uygulaması Kullanım Kılavuzu TachoMobile Yönetim Sistemi Proje Destek Dokümanı / Server Uygulaması v1.00.01 İÇİNDEKİLER Server Uygulaması 1. YAZILIM KURULUMLARI 1.1 Java 1.1.1 Kurulum 1.2 TachoMobile Server Uygulaması 1.2.1 Kurulum

Detaylı

İÇİNDEKİLER 1. KLAVYE... 11 2. KLAVYE RB0... 19 3. KLAVYE RBHIGH... 27 4. 4 DİSPLAY... 31

İÇİNDEKİLER 1. KLAVYE... 11 2. KLAVYE RB0... 19 3. KLAVYE RBHIGH... 27 4. 4 DİSPLAY... 31 İÇİNDEKİLER 1. KLAVYE... 11 Satır ve Sütunlar...11 Devre Şeması...14 Program...15 PIC 16F84 ile 4x4 klavye tasarımını gösterir. PORTA ya bağlı 4 adet LED ile tuş bilgisi gözlenir. Kendiniz Uygulayınız...18

Detaylı

VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı

VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı Kenan Baysal 1, Deniz Taşkın 2, Eser Sert 3, Nurşen Topçubaşı 4 1 Namık Kemal Üniversitesi, Hayrabolu Meslek Yüksekokulu, Bilgi Yönetimi

Detaylı

PROGRAMLANAB L R DENETLEY C LER. DERS 04 STEP 7 MICROWIN SP4.0 PROGRAMI KURULUM ve TANITIMI

PROGRAMLANAB L R DENETLEY C LER. DERS 04 STEP 7 MICROWIN SP4.0 PROGRAMI KURULUM ve TANITIMI PROGRAMLANAB L R DENETLEY C LER DERS 04 STEP 7 MICROWIN SP4.0 PROGRAMI KURULUM ve TANITIMI PLC Parçalarının Yapısı ve Fonksiyonları Merkezi lem Birimi (CPU) (Central Prosessing Unit) Hafıza (Bellek Elemanları)

Detaylı

SIMMAG Kullanım Kılavuzu. Adem Ayhan Karmış. Ana Ekran

SIMMAG Kullanım Kılavuzu. Adem Ayhan Karmış. Ana Ekran SIMMAG Kullanım Kılavuzu Adem Ayhan Karmış Ana Ekran Program çalıştırıldığında tek bir form uygulaması olarak açılmaktadır. Sol tarafta bulunan menü den menü elemanları kullanılarak gerekli olan formlar

Detaylı

ITEC186. Bilgi Teknolojilerine Giriş AUTODESK AUTOCAD 2014-I

ITEC186. Bilgi Teknolojilerine Giriş AUTODESK AUTOCAD 2014-I ITEC186 Bilgi Teknolojilerine Giriş AUTODESK AUTOCAD 2014-I CAD yazılımı nedir? CAD ya da CADD (computer-aided design and drafting) bilgisayar teknolojileri yardımı ile dijital ortamda tasarım yapılabilmesini

Detaylı

Sunucu İşletim Sistemini Ayarlamak ve Yönetmek

Sunucu İşletim Sistemini Ayarlamak ve Yönetmek Sunucu İşletim Sistemini Ayarlamak ve Yönetmek Elbistan Meslek Yüksek Okulu 2011 2012 Bahar Yarıyılı 19-20 Mar. 2013 Öğr. Gör. Murat KEÇECİOĞLU Sunucunu yönet (manage your server) Başlat menüsünü tıkladığımızda

Detaylı

İçerik. TBT 1003 Temel Bilgi Teknolojileri

İçerik. TBT 1003 Temel Bilgi Teknolojileri TBT 1003 Temel Bilgi Teknolojileri İçerik H0. Giriş ve Ders İçeriği Tanıtım H1. Donanım ve bilgisayarlar. H2. Donanım uygulamaları ve işletim sistemleri. H3. Kelime İşlemciler H4. Kelime İşlemci Uygulama

Detaylı

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ İçerik Mikroişlemci Sistem Mimarisi Mikroişlemcinin yürüttüğü işlemler Mikroişlemci Yol (Bus) Yapısı Mikroişlemci İç Veri İşlemleri Çevresel Cihazlarca Yürütülen İşlemler

Detaylı

MATLAB a GİRİŞ. Doç. Dr. Mehmet İTİK. Karadeniz Teknik Üniversitesi Makine Mühendisliği Bölümü

MATLAB a GİRİŞ. Doç. Dr. Mehmet İTİK. Karadeniz Teknik Üniversitesi Makine Mühendisliği Bölümü MATLAB a GİRİŞ Doç. Dr. Mehmet İTİK Karadeniz Teknik Üniversitesi Makine Mühendisliği Bölümü İçerik: MATLAB nedir? MATLAB arayüzü ve Bileşenleri (Toolbox) Değişkenler, Matris ve Vektörler Aritmetik işlemler

Detaylı

BLGM BÖLÜM. Problem Çözme Kavramları (Algoritma ve Akış Şemaları)

BLGM BÖLÜM. Problem Çözme Kavramları (Algoritma ve Akış Şemaları) BLGM108 1. BÖLÜM Problem Çözme Kavramları (Algoritma ve Akış Şemaları) 1 Yazılım Geliştirme Adımları 1. Gereksinimlerin belirlenmesi Problemin ne olduğunu anlama: sorunu çözmek için ne gereklidir, çözüm

Detaylı

Epi Info Kullanımı AMACI: Epi Info Programı ile veri tabanı hazırlayabilme ve veri girişi yapabilme becerisi kazanmak ÖĞRENİM HEDEFLERİ Epi Info bileşenlerini tanımlayabilmek Epi Info Make View programında

Detaylı

SAYISAL KONTROL 2 PROJESİ

SAYISAL KONTROL 2 PROJESİ SAYISAL KONTROL 2 PROJESİ AUTOMATIC CONTROL TELELAB (ACT) ile UZAKTAN KONTROL DENEYLERİ Automatic Control Telelab (ACT), kontrol deneylerinin uzaktan yapılmasını sağlayan web tabanlı bir sistemdir. Web

Detaylı

Coslat Monitor (Raporcu)

Coslat Monitor (Raporcu) Coslat Monitor (Raporcu) Coslat Monitor, Coslat Firewall, Hotspot ve Mirror uygulamalarında oluşturulan zaman damgalı kayıtların analiz edilmesini ve raporlanmasını sağlayan uygulamadır. Coslat Monitor

Detaylı

MultiBoot Kullanıcı Kılavuzu

MultiBoot Kullanıcı Kılavuzu MultiBoot Kullanıcı Kılavuzu Copyright 2006 Hewlett-Packard Development Company, L.P. Bu belgede yer alan bilgiler önceden haber verilmeksizin değiştirilebilir. HP ürünleri ve hizmetlerine ilişkin garantiler,

Detaylı

Widows un çalışmasında birinci sırada önem taşıyan dosyalardan biriside Registry olarak bilinen kayıt veri tabanıdır.

Widows un çalışmasında birinci sırada önem taşıyan dosyalardan biriside Registry olarak bilinen kayıt veri tabanıdır. Registry: Windows un bütün ayarlarının tutulduğu bir veri tabanıdır. Widows un çalışmasında birinci sırada önem taşıyan dosyalardan biriside Registry olarak bilinen kayıt veri tabanıdır. Win.3x sistemlerinde

Detaylı

HSancak Nesne Tabanlı Programlama I Ders Notları

HSancak Nesne Tabanlı Programlama I Ders Notları Konsol Uygulaması Oluşturma Konsol uygulaması oluşturmak için program açıldıktan sonra Create: Project ya da New Project seçeneği tıklanabilir. New Project penceresini açmak için farklı yollar da vardır.

Detaylı

C Konsol ve Komut Satırı

C Konsol ve Komut Satırı C Konsol ve Komut Satırı Öğr. Gör. M. Ozan AKI Rev 1.0 C:\> Konsol ekranı, işletim sistemi ve dosya yönetiminin metin tabanlı yönetilebilmesini sağlayan arabirimdir. Windows işletim sistemi ortaya çıkmadan

Detaylı

3. BÖLÜM: EN KÜÇÜK KARELER

3. BÖLÜM: EN KÜÇÜK KARELER 3. BÖLÜM: EN KÜÇÜK KARELER Bu bölümde; Kilo/Boy Örneği için Basit bir Regresyon EViews Denklem Penceresinin İçeriği Biftek Talebi Örneği için Çalışma Dosyası Oluşturma Beef 2.xls İsimli Çalışma Sayfasından

Detaylı

Programlanabilir Sayısal Sistemler

Programlanabilir Sayısal Sistemler T.C. MALTEPE ÜNİVERSİTESİ MÜHENDİSLİK VE DOĞA BİLİMLERİ FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ LİSANS PROGRAMI 2011-12 Bahar Yarıyılı Programlanabilir Sayısal Sistemler BİL322 AKTS Kredisi 5 3. yıl 6. yarıyıl

Detaylı

INVT IVC1. -Kompakt Tip PLC. Marketing 2014 HM

INVT IVC1. -Kompakt Tip PLC. Marketing 2014 HM INVT IVC1 -Kompakt Tip PLC Marketing 2014 HM Özelikler IVC1 Özelikleri Genişleme 128 IO 7 modül genişleme Haberleşme Arayüzü 2 seri port: 1xRS232, 1xRS232/485 Temel komut işlem Hızı 0.3μs Pulse Girişi

Detaylı

NX Motion Simulation:

NX Motion Simulation: NX Motion Simulation: Mekanizma Hareket Analizi UNIGRAPHICS NX yazılımının modüllerinden biri olan NX Motion Simulation, NX Dijital Ürün Tasarımı ailesinin mühendislik bileşenlerinden birisidir. Motion

Detaylı

Internet Programming II. Elbistan Meslek Yüksek Okulu 2014 2015 Bahar Yarıyılı

Internet Programming II. Elbistan Meslek Yüksek Okulu 2014 2015 Bahar Yarıyılı Internet Programming II Elbistan Meslek Yüksek Okulu 2014 2015 Bahar Yarıyılı Öğr. Gör. Murat KEÇECĠOĞLU 11-14 May. 2014 Form Form İşlemleri Tarayıcıdan bilgi alarak işlem gerçekleştirme FORM elemanları

Detaylı

Sunucu İşletim Sistemini Ayarlamak ve Yönetmek. Elbistan Meslek Yüksek Okulu Bahar Yarıyılı

Sunucu İşletim Sistemini Ayarlamak ve Yönetmek. Elbistan Meslek Yüksek Okulu Bahar Yarıyılı Sunucu İşletim Sistemini Ayarlamak ve Yönetmek Elbistan Meslek Yüksek Okulu 2015 2016 Bahar Yarıyılı Öğr.Gör. Murat KEÇECİOĞLU 9 Mar. 2016 Sunucunu yönet (manage your server) Başlat menüsünü tıkladığımızda

Detaylı

DELTA PLC DE ZAMANLAYICILAR

DELTA PLC DE ZAMANLAYICILAR DELTA PLC DE ZAMANLAYICILAR TMR Komutu TMR komutunun önündeki şart sinyal akışını sağladığında timer bobini saymaya başlar. Zaman dolduğunda, yani içerik >= ayar değeri (set değeri) olduğunda, adreslenen

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6 DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6 16 Bitlik R Tipi İçin ALTERA MAX-PLUS-II VHDL de Tam Tek Saat Veri Yolu Birimi Amaç: Tek-Saat

Detaylı

C-Serisi PLC İleri Seviye Eğitim

C-Serisi PLC İleri Seviye Eğitim C-Serisi PLC İleri Seviye Eğitim 1 PLC ye Giriş 2 PLC ye Giriş 3 PLC ye Giriş CJ1 I/O Modülleri - 8/16/32/64pts Max I/O - 160,640 Max Program Kapasitesi - 20K Steps Komut sayısı - 400 4 PLC Ladder Diyagram

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Sonlu Durum Makinesi Uygulaması FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda geliştirme kartımız üzerinde bulunan 8 adet

Detaylı