HAZIRLAYA MOME TUM PROJE GRUBU

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "HAZIRLAYA MOME TUM PROJE GRUBU"

Transkript

1 HAZIRLAYA MOME TUM PROJE GRUBU

2 TTeekk VVuur ruuşşl lluukk Đşşl Đ lleemcci ii TEK VURUŞLUK ĐŞLEMCĐ 1. Öz Bu çalışmada, temel olarak en basit tek vuruşluk işlemci(single cycle computer) tasarlanmıştır. Tasarım Xlinx Webpack programında yapılmış olup simulasyonda ModelSim programı kullanılmıştır. Derleme işlemi ve blok elemanlar Virtex 5 serisi FPGA bordlara uygun olarak hazırlanmış ve derleme çıktıları buna göre alınmıştır. Öncelikle, CPU ve teknolojileri hakkında kısaca bilgiler verildikten sonra, tasarım aşaması anlatılmıştır. Üniteler tek tek tanıtıldıktan sonra sistem üzerindeki analiz ve yorumlar belirtilmiştir. Son olarak ana yapı oluşturulduktan, sonra örnek bir program (komut kümesi) üzerinden simule edilen programın simulasyon çıktıları verilmiştir. Çıktılar simulasyon raporları ile birlikte değrlendirilmiştir. 2.Giriş ve Altyapı 2.1 Tanımı: Đşlemci(Central Processing Unit(CPU) yâda Merkezi Đşlem Birim(MĐB)), bilgisayarın beyni niteliğindeki en önemli bileşendir. Giriş arabirimleri vasıtasıyla dış dünyadan gelen sayısal veya analog verileri alır. Bu verileri, belleğindeki programa göre işler ve gereken çıkış verilerini, kontrol edilmek istenen sistem, çıkış arabirimleri üzerinden gönderir. Merkezi işlem birimi aritmetik ve mantıksal işlem yapma yeteneğine sahiptir. Giriş ve çıkış birimleri arasında verilen program ile uygun çalışmayı sağlar. Makine dili denilen düşük seviyeli kodlama sistemi ile çalışır; bu kodlama sistemi bilgisayarın algılayabileceği operasyon kodlarından (opcode) oluşur. Bir mikroişlemcinin algılayabileceği kodların tamamına o işlemcinin komut kümesi denir. 2.2 Görevi Örnek olarak 2 ve 3 ü topla sonucu bana ilet komutu verildiğinde işlemci hemen aritmetik merkezini devreye sokar, işlemi gerçekleştirir ve sonucu bize iletir. Benzer şekilde özel bir yöntemle kodlanmış MP3 dosyalarını açar, işler ve gerekli veriyi ses kartına iletir. Kısacası hesaplaması veya karar verilmesi gereken her şeyde işlemci devreye girer Hesaplama işlemlerinde aritmetik, karar verilmesi gereken işlemlerde de mantık ünitesi devreye girer. Bugünkü Programlanabilir Lojik Denetleyicilerin komut kümeleri sayesinde aşağıda belirlenmiş fonksiyonların gerçekleşmesi mümkündür. 1) Veri işleme 2) Matematiksel dört işlem 3) Matris lojiği işlemleri 4) Çift hassasiyetli işlemler 5) Değişken bit uzunluğu öteleme ve döndürme 6) Zamanlayıcı (Tımer) ve sayıcı (Counter) birimleri 7) Alt program yürütme - 2 -

3 MOOMEENNTTUUM PPr rooj jjee GGr ruubbuu 8) Öncelikli kesme yapısı gerçekleştirebilme. 2.3 Yapısı Đşlemcilerin yapısı hiç bir mekanik parçası olmayan tamamen devreler ve transistörlerden oluşur. Đçlerinde milyonlarca transistor bulunur ve bu transistorlerin sayısı ne kadar fazla olursa işlemci o kadar hızlı olur. Đşlemcilerin hızları MHZ (MegaHertz) cinsinden ölçülür. Doğal olarak bu sayı ne kadar yüksek olursa, hızı da o kadar yüksek olur. 3-4 santimetrekare büyüklüğünde bir silikon tabaka içinde milyonlarca transistörden oluşurlar. Đşlemcilerin oluşturulmasını sağlayan sistem mikron teknolojisidir. Bu teknoloji sayesinde bir kaç santimetrekarelik bir alana milyonlarca transistor sığdırabilmek mümkündür. Günümüz işlemcileri 100, 133, 333 MHZ ve daha fazla veriyolu hızında (Veriyi iletme hızı) çalışabilmektedir. Bu hız değeri ne kadar yüksek olursa, işlemci de o kadar fazla veri iletebilir. Ancak hızı belirleyen asıl faktör frekanstır. Veriyolu hızı frekansın yanında pek fazla bir şey ifade etmemektedir. Bütün işlemciler bir ön belleğe sahiptirler. Bunlar birincil (L1) ve ikincil (L2) olmak üzere ikiye ayrılırlar. Bu tür belleklere Cache de denir ve 32 KB ile 512 KB (Kilobyte) arasında değişirler. Önceden yapılmış olan ve yapılmakta olan işlerin bu belleklerde geçici olarak tutulmasını sağlarlar. Bu sayede cache bellekte tutulan bu verilere daha hızlı erişim sağlanmış olunur. 2,4 Üniteler Đşlemci üzerinde komutları icra etme işini uygulama ünite si (execution unit) ya da fonksiyon ünitesi (function unit) adı verilen üniteler gerçekleştirir. Modern işlemcilerde değişik komut türlerini işletmek üzere birden fazla fonksiyon ünitesi bulunur. Çoğunlukla aritmetik/mantıksal ünite (arithmetic/logic unit) olarak da anılan tamsayı (integer) üniteleri tam sayılar ile ilgili işlemleri yapar. Kayan nokta ünitesi (FPU-Floating Point Unit) ise 5,21 gibi küsuratlı sayılarla ilgili işlemleri yapar. Bir mikroişlemcide ne kadar fazla fonksiyon ünitesi varsa aynı anda çalışabilecek komut sayısı da o kadar artar. Register seti; işlem anında bir program tarafından kullanılmakta olan sayıların saklandığı geçici hafıza hücreleridir. Farklı komut ve register setlerine sahip olan işlemciler birbirlerinin yazılımlarını çalıştıramazlar. Kontrol ünitesi ise komutların sıralanıp düzenlendiği bölümdür. Programlama işlemi asıl olarak bu kısımda uygulanır. 2.5 Tasarımı Merkezi işlem birimi tasarımı, bilgisayarın temel bileşenlerinden birisi olan Merkezi işlem birimini etkin kullanmayı yönelik bir tasarımdır. MĐB bilgisayar donanımının temel bileşenlerinden birisidir. Đşlemcisi olmayan bir bilgisayar düşünülemez. Bu yüzden işlemcinin tasarımı ne kadar iyi olursa sistem de o derece hızlı olacaktır. Đşlemciyi hızlandırmanın değişik yolları vardır. Bunlardan bazıları: Buyrukların paralel çalışmasını sağlamak Çok vuruşluk işlemciler kullanmak Boru hattı kullanmak Çoklu işleme kullanmak şeklinde sıralanabilir. Đşlemcinin hızlandırılmasında asıl önemli unsur buyruk başına çevrim süresini azaltmaktır. Đşlemci hızlarını karşılaştırırken saat sıklığına bakmak yanıltıcı olabilir. Örneğin, 2 GHz'lik saati olan bir işlemci ile 2.5 GHz'lik saati olan bir işlemci aynı programı eşit sürede çalıştırabilirler. MĐB tasarımını demek ayrıca şu birimlerin tasarımıyla da ilgilenmek demektir: - 3 -

4 TTeekk VVuur ruuşşl lluukk Đşşl Đ lleemcci ii Veriyolu Yazmaç ve Önbellek Denetim birimleri Saat çevirimi Mantık kapıları Bir işlemci tasarlanırken aşağıdaki temel ilkeler kullanılır: Yalınlık düzenden gelir Küçük olan hızlıdır Đyi tasarım fedakârlık ister Olağan durumu hızlandırılmalıdır Yalın bir işlemci hızlıdır. Đşlemcinin karmaşıklığı arttıkça işlemci yavaşlayacaktır. Çok büyük bir işlemcide veri iletimi daha uzun sürecektir, yani küçük işlemci hızlıdır. Đşlemcide yapılacak bir takım iyileştirmeler sistemi hızlandırabilir, bu değişikliği yapmak için yapılan fedakârlık ise yavaşlatabilir. Olağan bir durumu (mesela sürekli işlenen bir buyruğu) hızlı çalışır hale getiren bir değişiklik işlemcinin başarımını arttırabilir. MĐB tasarımında bazı temel mantıklar kullanılır. Bunlar: Yapısal olmayan rastgele mantık Sonlu durum makinaları Mikroprogramlama Programlanabilir mantık dizisi şeklinde sıralanabilir. Tasarım genel olarak aşağıdaki işlemleri kapsar: Đşlemci mimarisi ve başarım modellemesi Tasarım ve doğrulama Önemli bileşenlerin tasarımı (önbellek, yazmaç, AMB) Mantık kapılarının tasarımı Devre elemanlarının eşzamanlı çalışması Fiziksel tasarım Bütünleşebilirlik ve üretilebilirlik Đyi tasarlanmış bir işlemcinin özellikleri şunlardır: Yüksek başarım Düşük maliyet Az güç tüketimi Uyumluluk 2.6 Temel Đşlemci Türleri En basit ve temel işlemci olarak tek vuruşluk işlemci(single cycle computer) düşünülebilinir. Her bir buyruğun 4 bayt tuttuğu ve bellekte tek bir sözcük olarak tutulduğunu düşünürsek, tek vuruşluk bir işlemci, işlem yaparken aşağıdaki üç adımı kullanacaktır. Program sayacı tarafından belirlenen yazmaçtaki bilgiyi al ve buyruk belleğine yaz. Bu işlem simgesel olarak şu şekilde gösterilebilir. BB [[PS]] Eğer bellek bayt bayt adreslenmiş ise program sayacını 4 arttır. PS [PS]+4 Buyruk belleğinde tutulan işlemleri yap

5 MOOMEENNTTUUM PPr rooj jjee GGr ruubbuu Tek vuruşluk işlemcide çevrim zamanı uzundur. Buyruklar sıra ile işlendiği için en yavaş işlenen buyruk işlemci hızını belirleyecektir. Ayrıca işlemler tek bir vuruşla bitirilemeyebilir. Đkinci ve daha gelişmiş bir işlemci olarak çok vuruşluk işlemci görülür. Tek vuruşluk işlemcilerde ortaya çıkan sorunlar tasarımda değişiklik yapıp veriyolu sayısını arttırarak engellenebilir. Çok vuruşluk işlemcide: AMB hem adres hesabı hem program sayacını arttırmak için kullanılır. Denetim işaretleri sadece buyruk tarafından belirlenmez. Denetim için sınırlı durum makinası kullanılır. 3. Sistemin Tanıtımı Ve Calışma Prensibleri Bu çalışmada 16 bitlik veri yoluna haiz, 16 registerli, temel komutları koşturabilen, basit bir tek vuruşluk işlemci (Single cycle computer) tasarlanmıştır. Tasarım Xlinx Webpack programında VHDL dilinde yazılmıştır. Simulasyon ise ModelSim programı ile başarıyla gerçeklenmiştir. Đşlev olarak temel komutları gerçeklemesi beklenmektedir. Kod yazımında öncelikle her eleman compenent halinde tasarlanmıştır. Daha sonra bu compenentler birleştirilerek CPU oluşturulmuştur. Bu raporda, büün parçalar tek tek tanıtılmış, sentezlenmiş, kod yazımında kullanılan teknikler, varsa analiz ve incelemelere her bölümün içinde hepsi için özel olarak değinilmiştir. Ayrıca bazı modüller birden fazla şekilde hazırlanmıştır. Đstenilen parça aktive edilerek kullanılabilinir. Sistem klasik bir tek vuruşluk işlemci yapısındadır. Temel olarak 3 birimden oluşur. Bunlar: Veriyolu(Datapath) Hafıza elamanı(memory) Kontrol ünitesi(control Unit)dir. 3.1 Veriyolu Şekil-1; Veri Yolu - 5 -

6 TTeekk VVuur ruuşşl lluukk Đşşl Đ lleemcci ii Veriyolu alınan bilginin işlendiği kısımdır. Temel olarak 4 ana birimden oluşurlar.bunlar yazmaçlar(register file), multiplexer B, fonksiyon ünitesi, Multiplexer D olarak düşünülebilinir Yazmaçlar işlenilecek bilginin saklandığı kısımdır. Đçerisinde tasarıma göre değişecek boyut ve sayılarda yazmaç(register) bulunur. Bu çalışmada hazırlanan register file, 16 adet 16 bitlik yazmaca sahiptir. Register file ünitesinde, yazılacak bilginin, hangi adresteki yazmaca yazılacağını gösteren hedef adresi(destination adress-da) girişi, çıkışların hangi adresteki yazmaçlardan alınacağını gösteren A adresi ve B adresi (AA, BA) girişleri bulunur. Ayrıca registerdeki bilgileri sıfırlamak için CLR girişi kullanılır. Bununla beraber yazım işlemini kontrol eden RW girişi de bu ünitenin girişlerindendir. Registere file a yazma işlemi ancak RW girişinin lojik 1 olmasıyla gerçekleşebilir. Ayrıca yazmaç ünitesinde okuma işlemi yükselen kenarda gerçekleşirken yazma işlemi düşen kenarda yapılır. Yazmaç ünitesi hazırlanımında VHDL dilinde kod yazılırken 2 ayrı yöntem izlenmiştir. Đlk olarak yapı behavioral(davranışsal) olarak hazırlanmıştır. Ardından da aynı yapı, aynı işlevi görecek şekilde architectiral(mimarisel) olarak hazırlanmıştır. Bundaki maksat hangi yapının daha hızlı çalıştığını tespit edebilmektir. Sonuç iki yapının hız ve alan kullanım özelliklerinin hemen hemen aynı olduğu ve mimarisel tasarlanan ünitede daha az register kullanıldığından hızının ve alan kullanımının diğerinden çok az da olsa üstün olduğu gözlenmiştir. Şekil-2 ;Mimarisel Tasarıma ait Zamanlama Özetleri Şekil-3 ; Davranışsal Tasarıma ait Zamanlama Özetleri Şekil-4 ; Davranışsal ve mimarisel tasarımlara ait alan kullanım özetleri - 6 -

7 MOOMEENNTTUUM PPr rooj jjee GGr ruubbuu Şekil-5 ; Yazmaç Dosyası Mux B işlenecek bilginin dışarıdan mı yoksa reg file dan mı alınacağını seçer. Mux D ise reg file a gönderilecek bilginin hafıza elemanından mı yoksa fonksiyon ünitesinden mi alınacağına karar verir

8 TTeekk VVuur ruuşşl lluukk Đşşl Đ lleemcci ii Şekil-6 ; Fonksiyon Ünitesi - 8 -

9 MOOMEENNTTUUM PPr rooj jjee GGr ruubbuu Fonksiyon Adı Gösterim Kod Save A F=A Đncrement F=A A plus B A+B A plus B plus 1 A+B A plus not B A+B A minus B A+B Decrement A Save A A A and B A B A or B A B A xor B A B Complement A A Multiply AxB Save B B Shift Right lsrb Shift Left lslb Arithmetic Shift Right asrb Arithmetic Shift Left aslb Rotate Right rorb Rotate Left rolb Rotate With Carry Right RorcB Rotate With Carry Left RolcB Tablo-1 Fonksiyon Tablosu Fonksiyon ünitesi ise asıl işi yapan bölümdür. Gelen sinyali aritmetik, lojik veya kaydırma işlemlerine tabi tutarak işler. Yapısında bir adet aritmetik-lojik ünite(alu) ve bir adet kaydırıcı (Shifter) bulunur. ALU ise 2 ana bölümden oluşu, birincisi aritmetik işlemleri (toplama, çıkarma, arttırma vb.) yapan aritmetik ünitesi (AU) diğeri ise lojik işlemleri (and. or, xor vb.) gerçekleştiren lojik ünitesidir. Fonsiyon ünitesinin, yapılacak fonksiyonu seçen 5 bitlik FS girişi vardır. FS nin fonksiyon tablosu Tablo-1 ile verilmiştir. Fonksiyon ünitesinde kaydırma işlemleri yalnızca B adresinde yapılabilinir. Fonksiyon ünitesinin ayrıca 4 adet çıkışı vardır. Bunlar gerçeklenen işlemin sonucu hakkında yorum yapan çıkışlardır. Đşlemin sonucunda taşma varsa V çıkışı, elde varsa C çıkışı, işlemin sonucu negatif ise N çıkışı, 0 ise Z çıkışı lojik 1 verir. 0 iken lojik 1 verir. Veri yolunun hafıza elemanıyla bağlantıyı sağlayan adres out ve data out çıkışları bulunmaktadır. 3.2 Hafıza Elemanı Đşlenecek bilginin depolandığı ve işlenen bilginin tekrar kaydedildiği elemandır. Hafıza elemanı okuma-yazma işlevleri seçmek üzere RW girişi bulunmaktadır. Ayrıca bu elemanda veri yolunun adress out çıkışının bağlandığı adress in ve yine veri yolunun data out çıkışına bağlanan data in girişleri bulunmaktadır. Memory nin çıkışı ise seçilmek üzere mux d ye bağlanır. CLR girişi lojik 1 yapılarak memory sıfırlanabilinir. Çalışmada 2 adet hafıza elemanı tasarlanmıştır. Birincisi davranışsal olarak yazılmış, 2 ise VHDL kütüphanesinden blok olarak kullanılmıştır. Davranışsal olarak tasarlanan belleğin derleme aşaması oldukça uzun bir zaman almaktadır. Ayrıca bu şekilde kullanılan bellek, board üzerinde çok geniş bir alan kullanmaktadır. Blok bellek kullanıldığı durumda ise diğer şekilde çok büyük bir alan kaplayan bölüm tek bir elemanla oluşturulmuş olmaktadır. Ayrıca derlemem işlemi de oldukça ise sürmektedir. Bu projede hafıza elemanı olarak 64Kx16 lık RAM kullanılacaktı ve VHDL kodları buna göre yazıldı. Ancak davranışsal olarak tasarlanan belleğin derleme işleminde yaşanan zorluklar nedeniyle elemanın kapasitesi düşürülmek zorunda kalındı. Şekil-7 ; Bellek - 9 -

10 TTeekk VVuur ruuşşl lluukk Đşşl Đ lleemcci ii 3.3 Kontrol Ünitesi Đşlemlerin sıralandığı ve düzenlendiği bölümdür. 6 temel yapıdan oluşturulmuştur. Đlki komut hafızasıdır. Komut hafızasında koşturulacak komutlar sıralı olarak saklanır. Programlama işlemi bu elemanda yapılır. Hafıza elemanın giriş ve çıkış sayıları keyfidir. Giriş sayısı arttırıldıkça uygulanabilecek komut sayısı artar. Çıkışlar arttıkça ise koşturulabilinecek komut çeşidi arttırılabilinir. Bizim tasarımımızda 15 giriş ve 19 çıkışlı hafıza elemanı kullanılmıştır. Hafıza elemanının adres girişleri komutların tutulduğu adresi seçmekte kullanılır. Çıkışlar ise veri yolunun anlayamayacağı biçimde kodlanmıştır. Bu kodlar bir kod çözücüyle çözülerek veri yoluna uygulanır. Komut Bitleri Kontrol Kelimesi Bitleri Fonksiyon Tipi Tanımları Bit 18 Bit 17 Bit 16 MB MD RW MW PL JB Registerli ALU fonksiyonları X Shifterli ALU fonksiyonları X Registerdan memory e yazma X X Memory den okuma X Sabitle ALU fonksiyonu X Sabitle shifter fonksiyonu X Şartlı dallanma X X Şartsız zıplama X X Tablo-2 Opcode Listesi Hafıza elemanında saklanan komutların ilk 7 biti işlem kodunu(opcode) belirtir. Đşlem kodlarının tasarımı tasarımcıya göre değişebilir. Bizim tasarımımızdaki opcodelarım işlem tablosu tablo-2 ile verilmiştir. Komutların geri kalan 12 biti ise komut formatına göre değişik görevler alabilir. Örnek olarak 3 komut formatı ve son 12 bitin görevleri aşağıda gösterilmiştir: Opcode Destination (hedef) Source (Kaynak) Register (DR) Register A (SA) Tablo-3a) Register Source (kaynak) Register B (SB) Opcode Destination (hedef) Source (Kaynak) Register (DR) Register A (SA) Tablo-3b) Đmmediate Operand (OP) Opcode Şekil-8 Kontrol Ünitesi Destination (hedef) Source (Kaynak) Register (DR) Register A (SA) Tablo-3c) Jump and Branch Source (kaynak) Register B (SB)

11 MOOMEENNTTUUM PPr rooj jjee GGr ruubbuu Şekil-9 Kontrol Ünitesi Tablo 3a da gösterilen komut tipi registerlar üzrinde işlem yaptırırken geçerlidir. Yani hem hedef hemde kaynak olarak reg file daki yazmaçlar kullanılıyorsa birinci komut tipi kullanılır. Tablo 3b ise immediate komut tipidir. Bu komut dışarıdan girilen sabit bir sayıyla işlem yapılacağı zaman kullanılır. Tablo 3c deki komut tipi jump and branch tipi olup bu formatta veri yolunda işlem yapılmaz. Zıplama ve dallanma işlemi komutların sıralamsı ile ilgili bir işlemdir. Zıplama işlemi bir adresten sonra koşulsuz olarak, bir sonraki adres değil de istenilen kadar sonraki adrese gitmek için kullanılır. Dallanma işlemi ise bunun şarta bağlı olanıdır. Komut hafızası da diğer hafıza elemanı gibi hem davranışsal olarak kullanılmış, hem de blok olarak kullanılmıştır. Kodlarda her ikisi de görülebilir ve istenilen RAM aktive edilerek kullanılabilir. Kontrol ünitesinin diğer bir elemanı program sayıcı(program counter-pc) dır. Program counter komut hafızasının adres girişlerine bağlanır yani bir sonraki komutun hangisi olacağını program counter belirler. Eğer program counter ın yükleme girişi lojik 0 olur ise program counter içeriğini bir arttırır yani hafızanın bir sonraki adresini adresler. Yükleme girişinin lojik 1 olduğu durumda ise counter içeriğini extend isimli elamanın içeriği ile toplayarak çıkış verir. Yani hafızanın yeni adresi bir sonraki adres değil extend in gösterdiği kadar sonraki adrestir. Bunu adına zıplama denir. Program sayıcının yükleme girişi ise dallanma konrolü isimli elemana bağlıdır. Diğer bir eleman olan dallanma kontrol (branch control) dallanmanın olup olmayacağına, eğer dallanma olacaksa onun şarta bağlı olup olmayacağına, eğer şarta bağlıysa hangi şarta bağlı olacağına karar verir. Branch kontrolün 4 girişine fonksiyon ünitesinin yorumlama çıkışları (V, C, N, Z) bağlıdır. Bunlar dallanma şartlarını gösterirler. Branch control ün diğer üç girişine ise PL, JB ve BC girişleri bağlıdır. PL(Program load) girişi dallanma yada zıplama olup olmayacağına karar verir. PL 1 iken JB 1 ise branch kontrolün çıkışı lojik 1 olur yani PC de yükleme yapılır. Başka bir değişle zıplama(jump) yapılır

12 TTeekk VVuur ruuşşl lluukk Đşşl Đ lleemcci ii PL 1 iken JB 0 ise koşula bağlı olarak dallanma yapılır. Dallanma yapılacak koşula ise BC karar verir. Dallanma koşul tablosu tablo-4 ile verilmiştir. Tablo-4 Şekil-10 Kontrol Ünitesi Branch kontrol isimli eleamnın çıkışı Boulean diliyle aşağıdaki gibi ifade edilebilinir: branch_out <= PL A D (selection OR JB) Kontrol ünitesinin diğer bir elemanı ise extend(sunucu) dur. Bu eleman zıplamanın kaç basamak ileri veya geriye yapılacağını PC ye bildirir. 8 bitlik girişlerinin ilk 4 biti komut hafızasının 11-8 arasındaki çıkışlarına, son 4 biti ise 3-0 arasındaki çıkışlarına bağlanır. Extend in çıkışı ise PC ile uyumlu olabilmesi için PC nin giriş biti sayısı kadar olmalıdır. Eksik kalan bitler extend tarafından doldurulur. Eğer extend in MSB(en değerli bit) i lojik 1 ise kalan bitler lojik 1 ile 0 olması durumunda ise lojik 0 ile doldurulur. Bu yolla çıkışın işareti korunmuş olunur. Zero-fill, kontrol üniteinin bir diğer elemanıdır. Komut hafızasında kullanılmış olan sabit bir sayıyı veri yoluna bağlar. Đmmediate komut tipinde de görüldüğü gibi, sabit sayı kullanımında kullanılacak sabit (operand) komutun son 4 bitine yerleştirilmiştir. Bu çıkışlar veri yolunun MUX B sine bağlanırken eksik bitler olacaktır çünkü operand 4 bitlikken multiplexer 16 bitliktir. Eksik kalan bitler ise zero-fill tarafında 0 ile doldurulur. Bu şekilde operand veri yoluna uyumlu hale getirilmiş olunur. Kontrol ünitesinin son elemanı komut çözücü (instruction decoder)dır. Komut çözücü komut hafızasında bulunan komutları veri yolunun anlayacağı dile çevirir. Komut çözücü opcode ları hazırlanma tarzına göre keyfi olarak tasarlanabilir ve opcodelarla yakın bir ilişki içindedir. Komut çözücünün iç yapısı tablo-2 ye göre düzenlenir. Şekil-8 ile komut çözücünün iç yapısı görülmektedir

13 MOOMEENNTTUUM PPr rooj jjee GGr ruubbuu Şekil-11,12 Đnstruction Decoder 4.Yazılım Bazında Simulasyonlarla Sistemin Đncelenmesi Tasarımın simulasyonu ModelSimXE III 6.0a isimli programda yapılmıştır. Simulasyon için, en temel bilgisayar kodlarını içeren aşağıdaki program koşturulmuştur. 1) Đşlem: R Adres:1 Tanım: Movi-Move data (immediate) Kod: " " when " ", ) Đşlem: R Adres:2, Tanım: Movi-Move data (immediate)

14 TTeekk VVuur ruuşşl lluukk Đşşl Đ lleemcci ii Kod: " " when " ", -5 3) Đşlem: R3 R1+R2 Adres:3 Tanım: Add Addition Kod: " when " ", 4) Đşlem: R4 R Adres:4 Tanım: Addi Addition (immediate) Kod: " " when " ", 5) Đşlem: R5 R Adres:5 Tanım: Subi Subtraction (immediate) Kod: " " when " ", 6) Đşlem: R4 R5-R1 Adres:6 Tanım: Sub Subtraction Kod: " " when " ", 7) Đşlem: R5 R3 AND 1111 Adres:7 Tanım: Andi AND (immediate) Kod: " " when " ", 8) Đşlem: R10 R1 AND R2 Adres:8 Tanım: And AND Kod: " " when " ", 9) Đşlem: R6 R4 OR R1 Adres:9 Tanım: Or OR Kod: " " when " ", 10) Đşlem: R6 R1 OR 1111 Adres:10 Tanım: Ori OR (immediate) Kod: " when " ", 11) Đşlem: R7 NOT R4 Adres:11 Tanım: Not NOT Kod: " " when " ", 12) Đşlem: R6 R1 R10 Adres:12 Tanım: Xor XOR Kod: " " when " ", 13) Đşlem: R4 R Adres:13 Tanım: Xori XOR (immediate) Kod: " " when " ", 14) Đşlem: If [R2= 0 ] then NA=16 Adres:14 Tanım: Beq Branch if equal to 0 Kod: " " when " ", 15)

15 MOOMEENNTTUUM PPr rooj jjee GGr ruubbuu Đşlem: If [R8= 0 ] then NA=20 Adres:15 Tanım: Beq Branch if equal to 0 Kod: " " when " ", 16) Đşlem: If [R8 0] then NA=25 Adres:21 Tanım: Bne Branch if not equal to 0 Kod: " " when " ", 17) Đşlem: If [R2 0] then NA=26 Adres:22 Tanım: Bne Branch if not equal to 0 Kod: " " when " ", 18) Đşlem: NA=30 Tanım: Ba Branch always Adres:27 Kod: " " when " ", 19) Đşlem: R3 sl R5 Adres:31 Tanım: Sll Logical shift left Kod: " " when " ", 20) Đşlem: R2 sr R3 Adres:32 Tanım: Srl Logical shift right Kod: " " when " ", 21) Đşlem: M [R2] R1 Adres:33 Tanım: Sw Store word Kod: " " when " ", 22) Đşlem: R6 M [R5] Adres:34 Tanım: Lw Load word Kod: " " when " ", 23) Đşlem: R2 R6 Adres:35 Tanım: Mov Move data between registers Kod: " " when " ", 24) Đşlem: NOP Adres:36 Tanım: Nop No operation Kod: " " when " ", 25) Đşlem: HALT Adres:37 Tanım: Hlt Halt Kod: " " when " ", Yukarıdaki 25 komut koşturulduğunda elde edilen sonuç resim-1 ile gösterilmiştir. Simulasyonda giriş olarak yalnızca üç elemanın CLR girişleri verilmiştir. En başta CLR verilerek sıfırlanmışlardır. Görüleceği gibi simulasyon 25 komutlu programı başarıyla koşmuştur

16 TTeekk VVuur ruuşşl lluukk Đşşl Đ lleemcci ii 5. Sonuçlar Basit bir tek vuruşlu işlemci yapılarak simulasyonu yapıldı. Bu sayede en basit yapıdaki bir işlemin yapısı, tasarımı, çalışma prensipleri daha iyi anlaşıldı. VHDL ile çalışılması bu dili daha iyi kullanma becerisi kazanıldı. Ayrıca daha detaylı ve gelişmiş bir proje tasarımı için gerekli önbilgiye sahip olundu. Tasarıma özel sonuçlara gelince: tasarlanan sistemin kaynak kullanımı şöyledir:

17 MOOMEENNTTUUM PPr rooj jjee GGr ruubbuu Đşlemcinin zamanlama raporu ise şu şekilde alınmıştır: 6.Referanslar Logic and Computer Design Fundamentals, M.Morris Mano, Charles Kime, Prentice Hall 2nd Edition, Digital Systems 2, EEE 222 Course Notes, Prof.Dr. Đrfan ALAN, 3rd Edition Circuit Design with VHDL,Volnei A. Pedroni,MIT Pres,Cambridge, Massachusetts, London, England Dıgıtal Sımulatıon And Processor Desıgn, Davıd Currıe, St John s Colleg

18 TTeekk VVuur ruuşşl lluukk Đşşl Đ lleemcci ii Resim-1 Simulasyon Sonuçları

19 MOOMEENNTTUUM PPr rooj jjee GGr ruubbuu

K uark projesi. Temel Özellikler :

K uark projesi. Temel Özellikler : K uark projesi Temel Özellikler : Kuark işlemcisi 16 bit kelime uzunluğuna sahip bir işlemcidir. Veri ve komut belleği aynıdır ve en fazla 4 Gigabyte bellek adresleyebilir. İşlemcimiz paralel çalışabilecek

Detaylı

Mikroçita. Mikroçita Rapor 2:

Mikroçita. Mikroçita Rapor 2: Mikroçita Rapor 2: İşlemci projemizle ilgili olarak hazırlamış olduğumuz bu ikinci raporda öncelikli olarak vhdl kullanarak tasarladığımız işlemcimizin genel çalışmasını ilk rapora göre daha ayrıntılı

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Operand türleri Assembly dili 2 İşlemcinin yapacağı iş makine komutlarıyla belirlenir. İşlemcinin

Detaylı

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür.

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür. İŞLEMCİLER (CPU) Mikroişlemci Nedir? Mikroişlemci, hafıza ve giriş/çıkış birimlerini bulunduran yapının geneline mikrobilgisayar; CPU' yu bulunduran entegre devre çipine ise mikroişlemci denir. İşlemciler

Detaylı

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar) Bus

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar)

Detaylı

x86 Ailesi Mikroişlemciler ve Mikrobilgisayarlar

x86 Ailesi Mikroişlemciler ve Mikrobilgisayarlar x86 Ailesi 1 8085A,8088 ve 8086 2 Temel Mikroişlemci Özellikleri Mikroişlemcinin bir defade işleyebileceği kelime uzunluğu Mikroişlemcinin tek bir komutu işleme hızı Mikroişlemcinin doğrudan adresleyebileceği

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Giriş Mikro işlemler Fetch cycle Indirect cycle Interrupt cycle Execute cycle Instruction

Detaylı

Von Neumann Mimarisi. Mikroişlemciler ve Mikrobilgisayarlar 1

Von Neumann Mimarisi. Mikroişlemciler ve Mikrobilgisayarlar 1 Von Neumann Mimarisi Mikroişlemciler ve Mikrobilgisayarlar 1 Sayısal Bilgisayarın Tarihsel Gelişim Süreci Babage in analitik makinası (1833) Vakumlu lambanın bulunuşu (1910) İlk elektronik sayısal bilgisayar

Detaylı

Bilgisayar Mimarisi ve Organizasyonu Giriş

Bilgisayar Mimarisi ve Organizasyonu Giriş + Bilgisayar Mimarisi ve Organizasyonu Giriş Bilgisayar Mimarisi Bilgisayar Organizasyonu Programcının görebileceği bir sistemin nitelikleri Bir programın mantıksal yürütülmesi üzerinde direk bir etkisi

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6 DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6 16 Bitlik R Tipi İçin ALTERA MAX-PLUS-II VHDL de Tam Tek Saat Veri Yolu Birimi Amaç: Tek-Saat

Detaylı

Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ. MİB Yapısı. MİB in İç Yapısı. MİB Altbirimleri. MİB in İç Yapısı

Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ. MİB Yapısı. MİB in İç Yapısı. MİB Altbirimleri. MİB in İç Yapısı Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ Doç. Dr. Şule Gündüz Öğüdücü http://ninova.itu.edu.tr/tr/dersler/bilgisayar-bilisim-fakultesi/0/blg-1/ Merkezi İşlem Birimi (MİB): Bilgisayarın temel birimi

Detaylı

KASIRGA -4 Buyruk Tasarımı Belgesi. 30.04.2008 Ankara

KASIRGA -4 Buyruk Tasarımı Belgesi. 30.04.2008 Ankara KASIRGA -4 Buyruk Tasarımı Belgesi 30.04.2008 Ankara 1 İŞLEMLER 00000000 SYSCALL 00000001 HLT 00000010 DEBUG 00000011 CONTINUE S-TİPİ 00000100 NOP 00000101 IN 00000110 OUT 00000111 BRET 00001000 ADD 00001001

Detaylı

BM 375 Bilgisayar Organizasyonu Dersi Vize Sınavı Cevapları 10 Nisan 2009

BM 375 Bilgisayar Organizasyonu Dersi Vize Sınavı Cevapları 10 Nisan 2009 1-) Instruction Cycle State Diagram ı çizip herbir state için gerçekleştirilen işlemleri detaylı bir şekilde açıklayınız. Instruction state cycle da üstteki kısımlar CPU dışında alttaki kısımlar CPU içinde

Detaylı

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ İçerik Mikroişlemci Sistem Mimarisi Mikroişlemcinin yürüttüğü işlemler Mikroişlemci Yol (Bus) Yapısı Mikroişlemci İç Veri İşlemleri Çevresel Cihazlarca Yürütülen İşlemler

Detaylı

Bölüm Bazı Temel Konseptler

Bölüm Bazı Temel Konseptler Bölüm 7 Bu ve bundan sonraki bölümde, makine komutlarını işleten ve diğer birimlerin faaliyetlerini düzenleyen işlem birimi üzerine yoğunlaşacağız. Bu birim genellikle Komut Seti Mimarisi (Instruction

Detaylı

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK Mikroişlemci HAFTA 1 HAFIZA BİRİMLERİ Program Kodları ve verinin saklandığı bölüm Kalıcı Hafıza ROM PROM EPROM EEPROM FLASH UÇUCU SRAM DRAM DRRAM... ALU Saklayıcılar Kod Çözücüler... GİRİŞ/ÇIKIŞ G/Ç I/O

Detaylı

KASIRGA 4. GELİŞME RAPORU

KASIRGA 4. GELİŞME RAPORU KASIRGA 4. GELİŞME RAPORU 14.07.2008 Ankara İçindekiler İçindekiler... 2 Giriş... 3 Kasırga Birimleri... 3 Program Sayacı Birimi... 3 Bellek Birimi... 3 Yönlendirme Birimi... 4 Denetim Birimi... 4 İşlem

Detaylı

MİKROİŞLEMCİ MİMARİLERİ

MİKROİŞLEMCİ MİMARİLERİ MİKROİŞLEMCİ MİMARİLERİ Mikroişlemcilerin yapısı tipik olarak 2 alt sınıfta incelenebilir: Mikroişlemci mimarisi (Komut seti mimarisi), Mikroişlemci organizasyonu (İşlemci mikromimarisi). CISC 1980 lerden

Detaylı

Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü

Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü 1 BİLGİSAYAR MİMARİSİ Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü http:// http:// Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/

Detaylı

Bilgisayar Mimarisi Nedir?

Bilgisayar Mimarisi Nedir? BİLGİSAYAR MİMARİSİ Bilgisayar Mimarisi Nedir? Bilgisayar mimarisi, diğer mimariler gibi, bir yapı kullanıcısının ihtiyaçlarını belirleme ve bu ihtiyaçları ekonomik ve teknolojik kısıtlamalar dahilinde

Detaylı

Hem lw hem de sw komutlarının ofseti 16-bitlik işaretli tamsayıdır.

Hem lw hem de sw komutlarının ofseti 16-bitlik işaretli tamsayıdır. DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #2 DİZİLERE ERİŞİMDE MIPS BELLEK TALİMATLARI Amaç: Veri bölütü kullanımını ve tek-modüllü dizi

Detaylı

BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü

BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü Bu derste! Büyük, karmaşık sayısal sistemlerin tasarımı ele alınacaktır. ASM ve ASMD çizgeleri Tasarım Örnekleri

Detaylı

İŞLEMCİ İşlemcilerin Temel Birimleri, İşlemcinin Çalışma Sistemi ve Komutlar, İşlemci ve Hafıza Arasındaki İlişki, İşlemci Teknolojileri, Modern

İŞLEMCİ İşlemcilerin Temel Birimleri, İşlemcinin Çalışma Sistemi ve Komutlar, İşlemci ve Hafıza Arasındaki İlişki, İşlemci Teknolojileri, Modern İŞLEMCİ İşlemcilerin Temel Birimleri, İşlemcinin Çalışma Sistemi ve Komutlar, İşlemci ve Hafıza Arasındaki İlişki, İşlemci Teknolojileri, Modern İşlemci Türleri, Özel Nitelikli İşlemciler, İşlemci Montajı,

Detaylı

İşletim Sistemleri (Operating Systems)

İşletim Sistemleri (Operating Systems) İşletim Sistemleri (Operating Systems) 1 İşletim Sistemleri (Operating Systems) Genel bilgiler Ders kitabı: Tanenbaum & Bo, Modern Operating Systems:4th ed., Prentice-Hall, Inc. 2013 Operating System Concepts,

Detaylı

Bu derste! BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Yazmaç Aktarımı Düzeyi! Büyük Sayısal Sistemler! 12/25/12

Bu derste! BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Yazmaç Aktarımı Düzeyi! Büyük Sayısal Sistemler! 12/25/12 BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü Bu derste! Büyük, karmaşık sayısal sistemlerin tasarımı ele alınacaktır. ASM ve ASMD çizgeleri Tasarım Örnekleri

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Adresleme modları Pentium ve PowerPC adresleme modları Komut formatları 1 Adresleme modları

Detaylı

Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ. MİB Yapısı. MİB Altbirimleri. Durum Kütüğü. Yardımcı Kütükler

Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ. MİB Yapısı. MİB Altbirimleri. Durum Kütüğü. Yardımcı Kütükler Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ Yrd. Doç. Dr. Şule Gündüz Öğüdücü Merkezi İşlem Birimi (MİB): Bilgisayarın temel birimi Hız Sözcük uzunluğu Buyruk kümesi Adresleme yeteneği Adresleme kapasitesi

Detaylı

Tek Vuruşluk Đşlemci. -- Company : Ege Universitesi, Elektrik-Elektronik Mühendisliği

Tek Vuruşluk Đşlemci. -- Company : Ege Universitesi, Elektrik-Elektronik Mühendisliği Tek Vuruşluk Đşlemci -- Company : Ege Universitesi, Elektrik-Elektronik Mühendisliği Bolumu -- Engineer : MOME TUM Proje Grubu -- Project ame : Tek Vurusluk Islemci -- Module ame : Ana Modul -- Additional

Detaylı

Bölüm 4 Aritmetik Devreler

Bölüm 4 Aritmetik Devreler Bölüm 4 Aritmetik Devreler DENEY 4- Aritmetik Lojik Ünite Devresi DENEYİN AMACI. Aritmetik lojik birimin (ALU) işlevlerini ve uygulamalarını anlamak. 2. 748 ALU tümdevresi ile aritmetik ve lojik işlemler

Detaylı

Komutların Yürütülmesi

Komutların Yürütülmesi Komutların Yürütülmesi Bilgisayar Bileşenleri: Genel Görünüm Program Sayacı Komut kaydedicisi Bellek Adres Kaydedicisi Ara Bellek kaydedicisi G/Ç Adres Kaydedicisi G/Ç ara bellek kaydedicisi 1 Sistem Yolu

Detaylı

BİLGİSAYAR MİMARİSİ. Bilgisayar Bileşenleri Ve Programların Yürütülmesi. Özer Çelik Matematik-Bilgisayar Bölümü

BİLGİSAYAR MİMARİSİ. Bilgisayar Bileşenleri Ve Programların Yürütülmesi. Özer Çelik Matematik-Bilgisayar Bölümü BİLGİSAYAR MİMARİSİ Bilgisayar Bileşenleri Ve Programların Yürütülmesi Özer Çelik Matematik-Bilgisayar Bölümü Program Kavramı Bilgisayardan istenilen işlerin gerçekleştirilebilmesi için gereken işlem dizisi

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #5 16 Bitlik R Tipi İçin ALTERA MAX-PLUS-II VHDL de Tek Saat Veri Yolu Birimi 1.Giriş Bu deneyde

Detaylı

Mikrobilgisayar Mimarisi ve Programlama

Mikrobilgisayar Mimarisi ve Programlama Mikrobilgisayar Mimarisi ve Programlama 2. Hafta Bellek Birimleri ve Programlamaya Giriş Doç. Dr. Akif KUTLU Ders web sitesi: http://www.8051turk.com/ http://microlab.sdu.edu.tr Bellekler Bellekler 0 veya

Detaylı

TBİL-405 Mikroişlemci Sistemleri Bölüm 2 1- % %01010 işleminin sonucu hangisidir? % %11000 %10001 %10101 %00011

TBİL-405 Mikroişlemci Sistemleri Bölüm 2 1- % %01010 işleminin sonucu hangisidir? % %11000 %10001 %10101 %00011 TBİL-405 Mikroişlemci Sistemleri Bölüm 2 1- %11010 - %01010 işleminin sonucu hangisidir? % 10000 %11000 %10001 %10101 %00011 2- %0101 1100 sayısının 1 e tümleyeni hangisidir? % 1010 0111 %11010 0011 %1010

Detaylı

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir.

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir. Bilgisayar Mimarisi İkilik Kodlama ve Mantık Devreleri Yrd.Doç.Dr. Celal Murat KANDEMİR ESOGÜ Eğitim Fakültesi - BÖTE twitter.com/cmkandemir Kodlama Kodlama (Coding) : Bir nesneler kümesinin bir dizgi

Detaylı

İşletim Sistemlerine Giriş

İşletim Sistemlerine Giriş İşletim Sistemlerine Giriş İşletim Sistemleri ve Donanım İşletim Sistemlerine Giriş/ Ders01 1 İşletim Sistemi? Yazılım olmadan bir bilgisayar METAL yığınıdır. Yazılım bilgiyi saklayabilir, işleyebilir

Detaylı

Embedded(Gömülü)Sistem Nedir?

Embedded(Gömülü)Sistem Nedir? Embedded(Gömülü)Sistem Nedir? Embedded Computing System de amaç; elektronik cihaza bir işlevi sürekli tekrar ettirmektir. Sistem içindeki program buna göre hazırlanmıştır. PC lerde (Desktop veya Laptop)

Detaylı

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN Bilgisayar Mühendisliğine Giriş Yrd.Doç.Dr.Hacer KARACAN Mikroişlemci Nedir? Bir bilgisayarın en önemli parçası Mikroişlemcisidir. Hiçbir bilgisayar mikroişlemci olmadan çalışamaz. Bu nedenle Mikroişlemci

Detaylı

Çalışma Açısından Bilgisayarlar

Çalışma Açısından Bilgisayarlar Çalışma Açısından Bilgisayarlar Ölçme sistemi ile hesaplama sistemi birbiriyle ilgili olmasına rağmen aynı değillerdir. Suyun sıcaklığı ve gürültünün şiddeti ile evdeki lambaların ölçülmesi aynı değillerdir.

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Processor organization Register organization Instruction cycle 2 Processor organization İşlemci

Detaylı

MİKROİŞLEMCİLER 1 Ders 1

MİKROİŞLEMCİLER 1 Ders 1 MİKROİŞLEMCİLER 1 Ders 1 Ders Kitabı: The 80x86 IBM PC and Compatible Computers Assembly Language, Design, and Interfacing Muhammad ali Mazidi, Janice Gillipsie Mazidi Öğr.Gör. Mahmut YALÇIN 09.03.2011

Detaylı

8. MİKROİŞLEMCİ MİMARİSİ

8. MİKROİŞLEMCİ MİMARİSİ 1 8. MİKROİŞLEMCİ MİMARİSİ Gelişen donanım ve yazılım teknolojilerine ve yonga üreticisine bağlı olarak mikroişlemcilerin farklı komut tipleri, çalışma hızı ve şekilleri vb. gibi donanım ve yazılım özellikleri

Detaylı

Cache-Hızlı Hafıza Birimi. Bilgisayar Sistemi Bilgisayarların Anakart Organizasyonu

Cache-Hızlı Hafıza Birimi. Bilgisayar Sistemi Bilgisayarların Anakart Organizasyonu Bilgisayarların Anakart Organizasyonu Pentium Đşlemci Đşlemci-hafıza BUS Adres Veri Cache Level 1 SDRAM Hazıfza DRAM PCI BUS USB USB CD-ROM Hard Disk PIIX 3 ISA BUS ISA Aygıtları PCI Aygıtları Adres/veri

Detaylı

Mimari Esaslar. Mikroişlemcinin mimari esasları; Kaydediciler Veriyolları İş hatları dır.

Mimari Esaslar. Mikroişlemcinin mimari esasları; Kaydediciler Veriyolları İş hatları dır. Mimari Esaslar Mikroişlemcinin mimari esasları; Kaydediciler Veriyolları İş hatları dır. Bu unsurların büyüklüğü, sayısı ve yapısı o işlemcinin yeteneklerini belirler. Mimari farlılıklarda; bu konularda

Detaylı

Quiz:8086 Mikroişlemcisi Mimarisi ve Emirleri

Quiz:8086 Mikroişlemcisi Mimarisi ve Emirleri Öğrenci No Ad-Soyad Puan Quiz:8086 Mikroişlemcisi Mimarisi ve Emirleri S1) 8086 mikroişlemcisi bitlik adres yoluna ve.. bitlik veri yoluna sahip bir işlemcidir. S2) 8086 Mikroişlemci mimarisinde paralel

Detaylı

Bilgisayar Mimarisi ve Örgütleşimi (COMPE 331) Ders Detayları

Bilgisayar Mimarisi ve Örgütleşimi (COMPE 331) Ders Detayları Bilgisayar Mimarisi ve Örgütleşimi (COMPE 331) Ders Detayları Ders Adı Bilgisayar Mimarisi ve Örgütleşimi Ders Kodu COMPE 331 Dönemi Ders Uygulama Saati Saati Laboratuar Kredi AKTS Saati Güz 3 0 0 3 5

Detaylı

Adresleme Yöntemleri MİKROİŞLEMCİ SİSTEMLERİ. İşlenenin Yeri. Örnek MİB Buyruk Yapısı. İvedi Adresleme. Adresleme Yöntemleri. Bellek. Kütükler.

Adresleme Yöntemleri MİKROİŞLEMCİ SİSTEMLERİ. İşlenenin Yeri. Örnek MİB Buyruk Yapısı. İvedi Adresleme. Adresleme Yöntemleri. Bellek. Kütükler. Adresleme Yöntemleri MİKROİŞLEMCİ SİSTEMLERİ Doç. Dr. Şule Gündüz Öğüdücü http://ninova.itu.edu.tr/tr/dersler/bilgisayar-bilisim-fakultesi/0/blg-/ Getirme Çevrimi Yürütme Çevrimi Çözme İşlenen Yürütme

Detaylı

BÖLÜM 2 SAYI SİSTEMLERİ

BÖLÜM 2 SAYI SİSTEMLERİ İÇİNDEKİLER BÖLÜM 1 GİRİŞ 1.1. Lojik devre içeriği... (1) 1.1.1. Kodlama, Kod tabloları... (2) 1.1.2. Kombinezonsal Devre / Ardışıl Devre... (4) 1.1.3. Kanonik Model / Algiritmik Model... (4) 1.1.4. Tasarım

Detaylı

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş C ile 8051 Mikrodenetleyici Uygulamaları BÖLÜM 2 8051 Mikrodenetleyicisine Giriş Amaçlar 8051 mikrodenetleyicisinin tarihi gelişimini açıklamak 8051 mikrodenetleyicisinin mimari yapısını kavramak 8051

Detaylı

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Programlanabilir Lojik Basit Programlanabilir Lojik Cihazlar (Simple Programmable Logic Device - SPLD) ** PAL (Programmable

Detaylı

Bilgisayar Donanım 2010 BİLGİSAYAR

Bilgisayar Donanım 2010 BİLGİSAYAR BİLGİSAYAR CPU, bellek ve diğer sistem bileşenlerinin bir baskı devre (pcb) üzerine yerleştirildiği platforma Anakart adı verilmektedir. Anakart üzerinde CPU, bellek, genişleme yuvaları, BIOS, çipsetler,

Detaylı

AND Komutu. and hedef, kaynak

AND Komutu. and hedef, kaynak Mantıksal Komutlar Bu komutlar herhangi bir işlem sırasında mantıksal karşılaştırmalar yapmak için kullanılır. Bu komutlar icra görürken kullanılan register yada bellek bölgesinin içerisindeki değerler

Detaylı

Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS. Bilgisayar Organizasyonu BIL

Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS. Bilgisayar Organizasyonu BIL DERS BİLGİLERİ Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS Bilgisayar Organizasyonu BIL321 5 3+2 4 5 Ön Koşul Dersleri Dersin Dili Dersin Seviyesi Dersin Türü Türkçe Lisans Zorunlu / Yüz Yüze

Detaylı

DSP DONANIMI. Pek çok DSP için temel elemanlar aşağıdaki gibidir.

DSP DONANIMI. Pek çok DSP için temel elemanlar aşağıdaki gibidir. DSP DONANIMI Pek çok DSP için temel elemanlar aşağıdaki gibidir. Çarpıcı yada çarpıcı- toplayıcı (MPY/MAC) Aritmetik lojik birim (ALU) Öteleyici (SHIFTER) Adres üreteci (AG) Komut yada program sıralayıcı

Detaylı

Bilgisayarların Gelişimi

Bilgisayarların Gelişimi Bilgisayarların Gelişimi Joseph Jacquard (1810) Bilgisayar tabanlı halı dokuma makinesi Delikli Kart (Punch Card) Algoritma ve Programlama 6 Bilgisayar Sistemi 1. Donanım fiziksel aygıtlardır. 2. Yazılım

Detaylı

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme PROGRAMIN ADI DERSIN KODU VE ADI DERSIN ISLENECEGI DÖNEM HAFTALIK DERS SAATİ DERSİN SÜRESİ ENDÜSTRİYEL ELEKTRONİK MİK.İŞLEMCİLER/MİK.DENETLEYİCİLER-1 2. Yıl, III. Yarıyıl (Güz) 4 (Teori: 3, Uygulama: 1,

Detaylı

Mikroişlemci ve Yapısı. Mikroişlemciler ve Mikrobilgisayarlar

Mikroişlemci ve Yapısı. Mikroişlemciler ve Mikrobilgisayarlar Mikroişlemci ve Yapısı 1 Katmanlı Sistem Yapısı (Machine Levels) Bu kısmın altındaki katmanlara programcı ve kullanıcının erişmesi söz konusu değildir. 2 Assembler Kaynak Kod Assembler Linker ADD D0,D1

Detaylı

DENİZ HARP OKULU BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ

DENİZ HARP OKULU BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ DENİZ HARP OKULU BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ Dersin Adı Kodu Sınıf/Y.Y. Ders Saati (T+U+L) Kredi AKTS Bilgisayar Organizasyonu ve Mimarisi BİM-312 3/I 3+0+0 3 4 Dersin

Detaylı

DOKUZ EYLÜL ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ DEKANLIĞI DERS/MODÜL/BLOK TANITIM FORMU. Dersin Kodu: CME 2006

DOKUZ EYLÜL ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ DEKANLIĞI DERS/MODÜL/BLOK TANITIM FORMU. Dersin Kodu: CME 2006 Dersi Veren Birim: Bilgisayar Mühendisliği Dersin Türkçe Adı: BİLGİSAYAR MİMARİSİ Dersin Orjinal Adı: COMPUTER ARCHITECTURE Dersin Düzeyi:(Ön lisans, Lisans, Yüksek Lisans, Doktora) Lisans Dersin Kodu:

Detaylı

Mikrobilgisayarlar. Mikroişlemciler ve. Mikrobilgisayarlar

Mikrobilgisayarlar. Mikroişlemciler ve. Mikrobilgisayarlar 1 Sayısal Bilgisayarın Tarihsel Gelişim Süreci Babage in analitik makinası (1833) Vakumlu lambanın bulunuşu (1910) İlk elektronik sayısal bilgisayar (1946) Transistörün bulunuşu (1947) İlk transistörlü

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Giriş Komut çalıştırma özellikleri Büyük register file kullanımı Compiler tabanlı register

Detaylı

Mikroişlemcili Sistemler ve Laboratuvarı 8.Hafta

Mikroişlemcili Sistemler ve Laboratuvarı 8.Hafta SAKARYA ÜNİVERSİTESİ Bilgisayar ve Bilişim Bilimleri Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemcili Sistemler ve Laboratuvarı 8.Hafta Doç.Dr. Ahmet Turan ÖZCERİT Doç.Dr. Cüneyt BAYILMIŞ Yrd.Doç.Dr.

Detaylı

ncü Bilgi İşleyen Makine Olarak Beyin Beyin 2005 Albert Long Hall, Boğazi

ncü Bilgi İşleyen Makine Olarak Beyin Beyin 2005 Albert Long Hall, Boğazi Bilgisayar ve Beynin Karşılaştırmalı Evrimi Bilgi Đşleyen Makina Olarak Beyin - 3 23-24 Aralık 2005 Boğ, Đstanbul M. Ufuk Çağlayan Bilgisayar Mühendisliği Bölümü Boğ 24 Aralık 2005 Motivasyon Bilgisayar

Detaylı

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi Bil101 Bilgisayar Yazılımı I Bilgisayar Yüksek Mühendisi Kullanıcıdan aldığı veri ya da bilgilerle kullanıcının isteği doğrultusunda işlem ve karşılaştırmalar yapabilen, veri ya da bilgileri sabit disk,

Detaylı

MİKROBİLGİSAYAR SİSTEMLERİ VE ASSEMBLER

MİKROBİLGİSAYAR SİSTEMLERİ VE ASSEMBLER BÖLÜM 2 INTEL AİLESİNİN 8 BİTLİK MİKROİŞLEMCİLERİ 2.1 8080 MİKROİŞLEMCİSİ Intel 8080, I4004, I4040 ve I8008 in ardından üretilmiştir ve 8 bitlik mikroişlemcilerin ilkidir ve 1974 te kullanıma sunulmuştur.

Detaylı

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz.

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz. Girilen iki sayının birbiriyle karşılaştırılıp sonucunda büyük, küçük veya eşit sinyallerinin verileceği bir programı VHDL dili ile yazınız. A : karşılaştırılacak 1.sayıdır. 8 bitlik giriştir. B : karşılaştırılacak

Detaylı

BİLGİSAYAR KULLANMA KURSU

BİLGİSAYAR KULLANMA KURSU 1 2 KURS MODÜLLERİ 1. BİLGİSAYAR KULLANIMI 3 1. Bilişim (Bilgi ve İletişim) Kavramı Bilişim, bilgi ve iletişim kelimelerinin bir arada kullanılmasıyla meydana gelmiştir. Bilişim, bilginin teknolojik araçlar

Detaylı

Şekil. 64 Kelimelik Yığıtın Blok Şeması

Şekil. 64 Kelimelik Yığıtın Blok Şeması 1 YIĞIT (STACK) KURULUMU Çoğu bilgisayarın MİB de yığıt veya LIFO (Last In First Out) bulunur. Yığıt bir bellek parçasıdır ve son depolanan bilgi ilk geri dönen bilgi olur. Yığıta aktarılan son bilgi yığıtın

Detaylı

8051 Ailesi MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur çekirdeğinin temel özellikkleri aşağıda verilmiştir:

8051 Ailesi MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur çekirdeğinin temel özellikkleri aşağıda verilmiştir: 8051 Ailesi 8051 MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur. 8051 çekirdeğinin temel özellikkleri aşağıda verilmiştir: 1. Kontrol uygulamaları için en uygun hale getirilmiş

Detaylı

Adresleme Yöntemleri MİKROİŞLEMCİ SİSTEMLERİ. Örnek MİB ile Adresleme. Adresleme Yöntemleri. Doğal Adresleme. İvedi Adresleme

Adresleme Yöntemleri MİKROİŞLEMCİ SİSTEMLERİ. Örnek MİB ile Adresleme. Adresleme Yöntemleri. Doğal Adresleme. İvedi Adresleme Adresleme Yöntemleri MİKROİŞLEMCİ SİSTEMLERİ Yrd. Doç. Dr. Şule Gündüz Öğüdücü www.cs.itu.edu.tr/~gunduz/courses/mikroisl/ İşlenenin nerde olacağını belirtmek için kullanılır. Buyruk çözme aşamasında adresleme

Detaylı

Bellekler. Mikroişlemciler ve Mikrobilgisayarlar

Bellekler. Mikroişlemciler ve Mikrobilgisayarlar Bellekler 1 Bellekler Ortak giriş/çıkışlara, yazma ve okuma kontrol sinyallerine sahip eşit uzunluktaki saklayıcıların bir tümdevre içerisinde sıralanmasıyla hafıza (bellek) yapısı elde edilir. Çeşitli

Detaylı

BİL 361 BİLGİSAYAR MİMARİSİ VE ORGANİZASYONU Güz Dönemi ÖDEV 1

BİL 361 BİLGİSAYAR MİMARİSİ VE ORGANİZASYONU Güz Dönemi ÖDEV 1 BİL 361 BİLGİSAYAR MİMARİSİ VE ORGANİZASYONU 2015-2016 Güz Dönemi ÖDEV 1 Son Teslim Tarihi/Saati : 07.10.2015/18:00 Teslim Yeri : Teknoloji Merkezi, 217 Uyarı: Bu ödevdeki soruları öğrenciler kişisel çabalarıyla

Detaylı

Düşünelim? Günlük hayatta bilgisayar hangi alanlarda kullanılmaktadır? Bilgisayarın farklı tip ve özellikte olmasının sebepleri neler olabilir?

Düşünelim? Günlük hayatta bilgisayar hangi alanlarda kullanılmaktadır? Bilgisayarın farklı tip ve özellikte olmasının sebepleri neler olabilir? Başlangıç Düşünelim? Günlük hayatta bilgisayar hangi alanlarda kullanılmaktadır? Bilgisayarın farklı tip ve özellikte olmasının sebepleri neler olabilir? Bilgisayar Bilgisayar, kendisine verilen bilgiler

Detaylı

İŞLETİM SİSTEMİ İşletim sistemi kullanıcıyla bilgisayar donanımı arasında iletişim sağlayan programdır.

İŞLETİM SİSTEMİ İşletim sistemi kullanıcıyla bilgisayar donanımı arasında iletişim sağlayan programdır. İŞLETİM SİSTEMİ İşletim sistemi kullanıcıyla bilgisayar donanımı arasında iletişim sağlayan programdır. Programların ve donanımların kullanılması için bir çalıştırılması platformu oluşturur. Sistemin yazılım

Detaylı

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN Bahar Dönemi Öğr.Gör. Vedat MARTTİN Merkezi İşlemci Biriminde İletişim Yolları Mikroişlemcide işlenmesi gereken komutları taşıyan hatlar yanında, işlenecek verileri taşıyan hatlar ve kesme işlemlerini

Detaylı

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur.

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur. HDL Dilleri HDL(Donanım Tanımlama Dili); tasarımın, HDL dillerinden her hangi bir tanesinin kullanılarak yapılmasıdır. HDL bir donanım parçasını modellemek için kullanılan yazılım dilidir. VHDL ile Verilog

Detaylı

Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose

Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose Mehmet BAKACAK, Taner TOPAL Bilgisayar Mühendisliği Kırıkkale

Detaylı

BİL 423 Bilgisayar Mimarisi 1. Ara Sınavı

BİL 423 Bilgisayar Mimarisi 1. Ara Sınavı MALTEPE ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSİĞİ BÖLÜMÜ BİL 423 Bilgisayar Mimarisi 1. Ara Sınavı Öğrenci Adı Soyadı : Öğrenci no : Akademik yıl : 2015-2016 Dönem : Güz Tarih : 4.11.2015 Sınav yeri : MZ-4 Sınav

Detaylı

Mikrobilgisayar Sistemleri ve Assembler

Mikrobilgisayar Sistemleri ve Assembler Mikrobilgisayar Sistemleri ve Assembler Bahar Dönemi Öğr.Gör. Vedat MARTTİN Konu Başlıkları Mikrobilgisayar sisteminin genel yapısı,mimariler,merkezi işlem Birimi RAM ve ROM bellek özellikleri ve Çeşitleri

Detaylı

Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/

Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/ Eşzamanlı (Senkron) Ardışıl Devrelerin Tasarlanması (Design) Bir ardışıl devrenin tasarlanması, çözülecek olan problemin sözle anlatımıyla (senaryo) başlar. Bundan sonra aşağıda açıklanan aşamalardan geçilerek

Detaylı

Kasırga [Gizli] KASIRGA PROJESİ 3. GELİŞME RAPORU. 16.06.2008 Ankara

Kasırga [Gizli] KASIRGA PROJESİ 3. GELİŞME RAPORU. 16.06.2008 Ankara KASIRGA PROJESİ 3. GELİŞME RAPORU 16.06.2008 Ankara İÇINDEKILER 1. KASIRGA İşlemcisi... 3 2. Tasarım Aşamaları... 3 2.1. Sanal Tasarım... 3 2.1.1. Buyruk Tasarımı... 3 2.2. Mimari Tasarımı... 4 2.2.1.

Detaylı

CPU TURKEY CPU-KULIS MİKROİŞLEMCİSİ ÇALIŞMA RAPORU

CPU TURKEY CPU-KULIS MİKROİŞLEMCİSİ ÇALIŞMA RAPORU CPU TURKEY CPU-KULIS MİKROİŞLEMCİSİ ÇALIŞMA RAPORU Proje Danışmanı: Proje Yetkilisi: Proje Ekibi: Prof. Dr. Sarp ERTÜRK, Kocaeli Üniversitesi, Elektronik ve Haberleşme Mühendisliği Bölümü Arş. Gör. Anıl

Detaylı

EEM122SAYISAL MANTIK SAYICILAR. Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol

EEM122SAYISAL MANTIK SAYICILAR. Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol EEM122SAYISAL MANTIK BÖLÜM 6: KAYDEDİCİLER VE SAYICILAR Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol KAYDEDİCİLER VE SAYICILAR Flip-flopkullanan devreler fonksiyonlarına göre iki guruba

Detaylı

8051 Ailesi MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur çekirdeğinin temel özellikkleri aşağıda verilmiştir:

8051 Ailesi MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur çekirdeğinin temel özellikkleri aşağıda verilmiştir: 8051 Ailesi 8051 MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur. 8051 çekirdeğinin temel özellikkleri aşağıda verilmiştir: 1. Kontrol uygulamaları için en uygun hale getirilmiş

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 8. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar MULTIPLEXERS (VERİ SEÇİCİLER), ÜÇ DURUMLU BUFFERS, DECODERS (KOD ÇÖZÜCÜLER) BELLEK ELEMANLARI 2 8.2.

Detaylı

Bilgisayar en yavaş parçası kadar hızlıdır!

Bilgisayar en yavaş parçası kadar hızlıdır! Donanım Bilgisayar en yavaş parçası kadar hızlıdır! Merkezi İşlem Birimi Kavramı (CPU) Bilgisayar içerisinde meydana gelen her türlü aritmetiksel, mantıksal ve karşılaştırma işlemlerinden sorumlu olan

Detaylı

Mikroişlemcili Sistemler ve Laboratuvarı

Mikroişlemcili Sistemler ve Laboratuvarı SAKARYA ÜNİVERSİTESİ Bilgisayar ve Bilişim Bilimleri Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemcili Sistemler ve Laboratuvarı Hafta04 : 8255 ve Bellek Organizasyonu Doç.Dr. Ahmet Turan ÖZCERİT

Detaylı

1. İŞLEMCİLER. İlk İşlemci

1. İŞLEMCİLER. İlk İşlemci KONU: BİOS-İŞLEMCİ 1. İŞLEMCİLER İşlemci- CPU (Central Processing Unit-Merkezi İşlem Birimi-MİB), bilgisayarın beyni olarak tanımlanır. Bu tanımlama, işlemcinin önemini belirtmek için söylenir. Bugün piyasada

Detaylı

Basit Işık Kontrolü. 1. Bit, Byte, Word, Double Word kavramları:

Basit Işık Kontrolü. 1. Bit, Byte, Word, Double Word kavramları: Basit Işık Kontrolü TUNCELİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRİK - ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ OTOMASYON LABORATUVARI DENEY NO:1 1. Bit, Byte, Word, Double Word kavramları: PLC lerde veriler

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ Yrd. Doç. Dr. Mustafa Hikmet Bilgehan UÇAR 6. HAFTA BİLEŞİK MANTIK DEVRELERİ (COMBINATIONAL LOGIC) Aritmetik İşlem Devreleri

Detaylı

C-Serisi PLC İleri Seviye Eğitim

C-Serisi PLC İleri Seviye Eğitim C-Serisi PLC İleri Seviye Eğitim 1 PLC ye Giriş 2 PLC ye Giriş 3 PLC ye Giriş CJ1 I/O Modülleri - 8/16/32/64pts Max I/O - 160,640 Max Program Kapasitesi - 20K Steps Komut sayısı - 400 4 PLC Ladder Diyagram

Detaylı

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN Bahar Dönemi Öğr.Gör. Vedat MARTTİN 8086/8088 MİKROİŞLEMCİSİ İÇ MİMARİSİ Şekilde x86 ailesinin 16-bit çekirdek mimarisinin basitleştirilmiş bir gösterimi verilmiştir. Mikroişlemci temel iki ayrı çalışma

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Hafıza sistemleri karakteristikleri Hafıza hiyerarşisi Önbellek prensipleri Cache size Mapping

Detaylı

Bilgisayar Donanımı Dersi BİLGİSAYARIN MİMARI YAPISI VE ÇALIŞMA MANTIĞI

Bilgisayar Donanımı Dersi BİLGİSAYARIN MİMARI YAPISI VE ÇALIŞMA MANTIĞI Bilgisayar Donanımı Dersi BİLGİSAYARIN MİMARI YAPISI VE ÇALIŞMA MANTIĞI Bilgisayarın Mimarı Yapısı ve Çalışma Mantığı Bilgisayarı yapısal olarak; bilgilerin girilmesi, girilen bilgilerin belirtilen durumlara

Detaylı

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi Buse Ustaoğlu Berna Örs Yalçın İçerik Giriş Çalişmanın Amacı Mikroişlemciye Hata Enjekte Etme Adımları Hata Üreteci Devresi

Detaylı

Mikroişlemciler ve Assembler Programlama. Teknoloji Fakültesi / Bilgisayar Mühendisliği Öğr.Gör. Günay TEMÜR

Mikroişlemciler ve Assembler Programlama. Teknoloji Fakültesi / Bilgisayar Mühendisliği Öğr.Gör. Günay TEMÜR Mikroişlemciler ve Assembler Programlama Teknoloji Fakültesi / Bilgisayar Mühendisliği Öğr.Gör. Günay TEMÜR Mikroişlemciler Mikroişlemciler bilgisayar teknolojilerinin gerçek sürükleyicisi olan donanımsal

Detaylı

MANTIK DEVRELERİ HALL, 2002) (SAYISAL TASARIM, ÇEVİRİ, LITERATUR YAYINCILIK) DIGITAL DESIGN PRICIPLES & PRACTICES (3. EDITION, PRENTICE HALL, 2001)

MANTIK DEVRELERİ HALL, 2002) (SAYISAL TASARIM, ÇEVİRİ, LITERATUR YAYINCILIK) DIGITAL DESIGN PRICIPLES & PRACTICES (3. EDITION, PRENTICE HALL, 2001) MANTIK DEVRELERİ DERSİN AMACI: SAYISAL LOJİK DEVRELERE İLİŞKİN KAPSAMLI BİLGİ SUNMAK. DERSİ ALAN ÖĞRENCİLER KOMBİNASYONEL DEVRE, ARDIŞIL DEVRE VE ALGORİTMİK DURUM MAKİNALARI TASARLAYACAK VE ÇÖZÜMLEMESİNİ

Detaylı

KOMUT TABLOSU İLE İLGİLİ AÇIKLAMALAR:

KOMUT TABLOSU İLE İLGİLİ AÇIKLAMALAR: KOMUT TABLOSU İLE İLGİLİ AÇIKLAMALAR: 1) Etkilenen Bayraklar (E.B.) : Bazı komutlar koşturulurken PSW saklayacısındaki bayrakların değeri değişebilir. Herbir komut için etkilenen bayraklar belirtilmiştir.

Detaylı

7.Yazmaçlar (Registers), Sayıcılar (Counters)

7.Yazmaçlar (Registers), Sayıcılar (Counters) 7.Yazmaçlar (Registers), Sayıcılar (Counters) 7..Yazmaçlar Paralel Yüklemeli Yazmaçlar Ötelemeli Yazmaçlar 7.2.Sayıcılar Đkili Asenkron Sayıcılar (Binary Ripple Counter) Đkili Kodlanmış Onlu Asenkron Sayıcı

Detaylı