CPU TURKEY CPU-KULIS MİKROİŞLEMCİSİ ÇALIŞMA RAPORU

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "CPU TURKEY CPU-KULIS MİKROİŞLEMCİSİ ÇALIŞMA RAPORU"

Transkript

1 CPU TURKEY CPU-KULIS MİKROİŞLEMCİSİ ÇALIŞMA RAPORU Proje Danışmanı: Proje Yetkilisi: Proje Ekibi: Prof. Dr. Sarp ERTÜRK, Kocaeli Üniversitesi, Elektronik ve Haberleşme Mühendisliği Bölümü Arş. Gör. Anıl ÇELEBİ, Kocaeli Üniversitesi, Elektronik ve Haberleşme Mühendisliği Bölümü Çağrı GÜVENEL Ahmet TEKYILDIZ, Kocaeli Üniversitesi Elektronik ve Haberleşme Mühendisliği Bölümü, 3. sınıf öğrencileri Akademik Destek: Yrd. Doç. Dr. Oğuzhan Urhan, Kocaeli Üniversitesi Elektronik ve Haberleşme Mühendisliği Bölümü Yrd. Doç. Dr. Mehmet Kemal GÜLLÜ, Kocaeli Üniversitesi Elektronik ve Haberleşme Mühendisliği Bölümü

2 İçindekiler İçindekiler... i Şekiller Dizini...ii Tablolar Dizini...ii Önsöz CPU-KULIS MİKROİŞLEMCİSİNİN GENEL YAPISI Cpu-Kulis Mikroişlemcisi Genel Şematik Gösterimi Cpu-Kulis Mikroişlemcisinin Ana Öbekleri Komut çekme Kod çözme Yürütme Geri yazma Ardışık Düzen Hata Denetimi: CPU-KULIS DERLEYİCİSİ Giriş Derleyici Kullanımı Komut kümesinin kullanımı: Sembolik sabit tanımlama: Etiket tanımlama: Başlama noktası tanımlama: KOMUTLARIN İŞLEM BASAMAKLARI i

3 Şekiller Dizini Şekil 1.1. Cpu-kulis mikroişlemcisinin en üst seviye şematik görünümü... 3 Şekil 1.2. Komut çekme ana öbek şeması... 4 Şekil 1.3. Kod çözme öbeği şematik görünümü... 5 Şekil 1.4. Yürütme öbeği altında bulunan ALU biriminin RTL şemasının bir bölümü... 6 Şekil 1.5. Geri yazma öbeği RTL şeması... 7 Şekil 1.6. Ardışık düzen hata denetimi öbeğinin RTL şeması... 9 Tablolar Dizini Tablo 1.1. decode_wire[14:0] sinyalinin kontrol ettiği birimler ve makine kodu karşılıkları.. 5 Tablo 1.2. Hedef ve kaynak bilgilerinin makine kodu karşılıkları... 7 Tablo 1.3. wb_signals[10:0] sinyalinin kontrol ettiği birimler ve makine kodu karşılıkları... 7 Tablo bitlik işlem kodunun bölümleri Tablo 2.2. İşlem kodu-işlenen karşılık tablosu Tablo 2.3. Cpu-Kulis işlemcisinin komut kümesi ve aldığı işlenenleri ii

4 Önsöz CPU-KULIS mikroişlemcisi çalışma raporunda mikroişlemcinin genel yapısı, saat darbelerinde komutların işlendiği ana öbekler, bu öbeklerin şematik gösterimleri üzerinde durulmuştur. Komutların makine diline çevrilmesi için tasarlanan derleyicinin tanıtımı yapılmıştır. İşlemcide kullanılan komutların kaynak ve hedef birimleri gösterilmiştir. Tasarımda bulunan bazı önemli bağlantıların etkilediği birimler tablo halinde gösterilmiştir. Komutlar, kullanım alanlarına göre bölümlere ayrılmış ve işlem basamakları ayrıntılı bir biçimde anlatılmıştır. Mikroişlemci ana kısımları, şematik kullanılarak oluşturulmuştur. Şemaların gösteriminde asıl amaç; ana öbeklerin teorik anlatımına uygulamalı gösterim ile destek olmaktır. Bu nedenle; şemalar oluşturulurken şeklin sade ve anlaşılır olması birinci hedeftir. Sıra düzensel olarak bir seviyeden daha aşağı inilmemeye özen gösterilmiştir. (Şemaların ayrıntılı hali eklenen dosyalardan RTL_Semalar içerisinde bulunmaktadır. Komutların işleyişi hakkında ayrıntılı uygulama eklenen dosyalar arasında benzetim anlatımı videosu olarak bulunmaktadır.) 1

5 1. CPU-KULIS MİKROİŞLEMCİSİNİN GENEL YAPISI Cpu-Kulis, standart mikroişlemci yapıları temel alınarak tasarlanmış özgün bir çalışmadır. Cpu-Kulis mikroişlemcisi, Komut çekme, Kod çözme, yürütme ve geri yazma isimlerinin verildiği dört ana bloktan oluşmaktadır. Ana bloklardan kısaca bahsetmek gerekirse; Komut çekme, ROM da bulunan komutlara ait işlem kodlarının ROM dan okunmasını sağlayan yapıdır. Komut çekme ROM dan aldığı bu bilgiyi Kod çözme öbeğine iletir. Kod çözme, Komut çekme öbeğinden aldığı kod bilgisini istenilen işlemin yapılabilmesi için uygun sinyaller olarak yürütme kısmına iletir. Aynı zamanda herhangi bir geri yazım işlemi için uygun sinyalleri hedef ve kaynak bilgisi olarak Geri yazma öbeğine iletir. Yürütme, uygun sinyaller uygulanarak istenilen işlemin yürütülmesini sağlayan işlemcinin en karmaşık kısmıdır, veri yolu olarak da adlandırılabilir. Geri yazma, Kod çözme öbeğinden aldığı hedef ve kaynak bilgisine göre; verinin istenilen birime yazılması için gerekli sinyalleri yürütme bloğu için üretir. Cpu-Kulis, dört iş hatlı Ardışık Düzen Hata Denetimi (Pipeline Hazard Detect) yapısına sahip bir işlemcidir. İstisna durumlar hariç her işlem bir saat çevrimi süresinde yapılmaktadır. (Bu istisnalar bölüm 1.3 de anlatılmıştır.) Cpu-Kulis, 30 temel komutun yanı sıra sonradan eklenen PUSH, POP ve RET komutlarını da yürütme özelliğine sahiptir. Mikroişlemci performansına bir etkisi bulunmayacağı için CACHE bellek bu aşamada kullanılmamıştır. Cpu-Kulis mikroişlemcisinde dört adet yazmaç, ROM, RAM, yığın ve alu ana blokları mevcuttur. İşlemci tasarımı Xilinx ISE tasrım ortamı kullanılarak verilog donanım tanımlama dili ile yazılmıştır. Şematik gösterimler için ISE şematik düzenleyicisinden faydalanılmıştır. Benzetim için MentorGraphics in ModelSim yazılımı kullanılmıştır. 2

6 1.1. Cpu-Kulis Mikroişlemcisi Genel Şematik Gösterimi Şekil 1.1. Cpu-kulis mikroişlemcisinin en üst seviye şematik görünümü Şekil 1.1 de Cpu-kulis mikroişlemcisinin en üst seviye modelinin şematik gösterimi kabaca eklenmiştir. Yapıdan da anlaşılacağı üzere; birbirine gerekli bağlantılar ile bağlanan dört ana öbek ve bu öbekler arası eş zamanlamayı sağlayan ardışık düzen yapısı genel hatlarıyla görülmektedir. Şekilde en sağda görülen büyük öbek veri-yolu öbeğidir ve sistemin en karmaşık yapısıdır. İlerleyen bölümlerde veri-yolu öbeği ve diğer alt öbeklere kısaca değinilecektir Cpu-Kulis Mikroişlemcisinin Ana Öbekleri Komut çekme Komut çekme, ROM dan komutlara ait makine kodlarını alır ve değerlendirmesi için kod çözme öbeğine gönderir. Komut çekme öbeği dört ana alt öbekten oluşmaktadır. Program sayıcı yürütülen komutun kaçıncı adreste olduğunu belirtir. Program sayıcı çıkışı ROM a bağlıdır ve belirtilen adresin içerisindeki makine kodu ROM dan veri çıkışı olarak kod çözme öbeğine bağlanır. 3

7 ROM da her biri 16 bit adres verisiyle erişilen bilginin uzunluğu 32 bittir. (ROM, 256 satır uzunluğunda alınmıştır, 256 satırı adresleyebilmek için 16 bitlik adres girişinin en az anlamlı 8 biti kullanılmaktadır. Şekil 1.2. Komut çekme ana öbek şeması Toplam ROM uzunluğu 32bit * 256satır / (8bit/byte) = 1024 byte dır ). Aynı zamanda program sayıcının göstermiş olduğu adres de bir saat çevrimi ile kod çözme öbeğine iletilir. Bir komutun yürütülmesi işlemi komut çekme öbeğinden başlar. Komut yürütümünde bir saat çevrimi komut çekme öbeğinde harcanmaktadır Kod çözme Komut çekme çıkışından aldığı adres bilgisi ile ROM dan aldığı makine kodu bilgisini değerlendiren ve hangi komutun yürütüleceğini, hangi birimlerde işlem yapılacağını belirleyen öbektir. Kod çözme öbeğinin şematik gösterimi Şekil 1.3 de görülmektedir. Şekil 1.3 de kod çözme öbeğinin iki ana kısımdan oluştuğu görülmektedir. Bu iki ana öbek yanında, komut çekme öbeğinde da bulunan flush yazmacı görülmektedir. Flush yazmacının işlevi, öbek çıkışındaki verinin geçerli olup olmadığını anlatmaktadır. Eğer komut çekme öbeği çıkışındaki flush yazmacının değeri mantıksal 1 ise, kod çözme öbeği, komut çekme çıkışındaki verinin çöp değer olduğunu anlamaktadır. Komut çekme birimi çıkışında 15 bitlik durum sinyali verisi ile 16 bitlik sabit verisi üretilir. Bu değer komut çekme öbeğinden gelen ROM verisinin anlamlı iki parçaya bölünmesi ile elde edilir. Durum sinyali verisinin son 6 biti komut bilgisini, daha sonra gelen 4 bit yazmaç bilgisini ardından gelen 4 bit yine yazmaç 4

8 bilgisini ve ilk bit ise; elde bilgisini verir. Bu bilgiler doğrultusunda yürütme öbeğine, komutun yürütülebilmesi için uygun sinyaller gönderilir. Şekil 1.3. Kod çözme öbeği şematik görünümü Kod çözme işlemini için oluşturulan makine kodu tablosu Tablo 1.1 de verilmiştir. Tablo 1.1. decode_wire[14:0] sinyalinin kontrol ettiği birimler ve makine kodu karşılıkları Decode_statck_ctrl decode_wire[1:0] Halt decode_wire[2] Mux1_selector decode_wire[4:3] Mux2_selector decode_wire[6:5] Mux3_selector decode_wire[7] Alu_opselect decode_wire[11:8] Alu_en decode_wire[12] Decode_Ram_ctrl decode_wire[14:13] Yürütme Yürütme öbeği komutların yürütülmesi işleminin gerçekleştirildiği öbek yapı olduğu için Cpu- Kulis işlemcisinin veri-yolu olarak adlandırılabilir. İçerisinde toplam 16 adet komutun yürütülebildiği ALU bulunur. Bunu yanı sıra; dört adet yazmaç,11 adet çoğullayıcı, bayrak sonuçları, içerisinde bulunan diğer önemli birimlerdir. 5

9 Yürütme öbeği, mikroişlemcide aritmetik ve mantıksal işlemleri gerçekleştiren öbektir. Bu nedenle; yapı diğer öbeklere oranla hayli karmaşıktır. Yapının içerisinde bulunan ALU biriminin sentez işlemi sonrasında oluşan birkaç sayfalık RTL şemasından sadece bir sayfasının görünümü Şekil 1.4 de görülmektedir. Yapının diğer alt öbeklerinin RTL şemaları yarışma sayfasına yüklenen dosyalar arasında daha ayrıntılı olarak bulunmaktadır. Şekil 1.4. Yürütme öbeği altında bulunan ALU biriminin RTL şemasının bir bölümü Geri yazma Geri yazma öbeği bir komutun son saat çevriminin yürütüldüğü yapıdır. Kod çözme öbeğinden aldığı kaynak ve hedef sinyallerini, geri yazma işleminin yapılabilmesi için yürütme öbeğine uygun sinyalleri üreten öbektir. 6

10 Şekil 1.5. Geri yazma öbeği RTL şeması Şekil 1.5 e göre; gerekli koşullar sağlandığı taktirde, dörder bitlik hedef ve kaynak verileri doğrultusunda çıkışa wb_signals adını verdiğimiz kontrol sinyalleri vektörünü bu öbek içerisinde üretilir. Tablo 1.2. Hedef ve kaynak bilgilerinin makine kodu karşılıkları HEDEF KAYNAK 000:AREG 000:AREG 001:BREG 001:BREG 010:CREG 010:CREG 011:DREG 011:DREG 100:ALU 100:ALU 101:STACK 101:STACK 110:RAM 110:RAM Tablo 1.3. wb_signals[10:0] sinyalinin kontrol ettiği birimler ve makine kodu karşılıkları Mux7_ctrl wb_signals[0] Mux6_ctrl wb_signals[1] Reg_select wb_signals[4:2] Wb_RAM wb_signals[5] Wb_EN wb_signals[6] Wb_RW wb_signals[7] Wb_stack wb_signals[8] Wb_stack_ctrl(en-rw) wb_signals[10:9] 7

11 1.3. Ardışık Düzen Hata Denetimi: Normal koşullar altında bir komut, komut çekme, kod çözme, yürütme, geri yazma olmak üzere dört saat çevrimi sonucunda işlenmektedir. Bir komut yürütümü bitmeden diğer komut öbekler arasında ilerleyememektedir. Ardışık düzen yapısı mikroişlemciye her saat çevriminde bir komutun yürütülmesi olanağını sağlar. Bu sayede; komut yürütme performansı artar ve mikroişlemcinin performansı büyük oranda iyileştirilmiş olur. Bu nedenle; tasarlanan mikroişlemcinin ardışık düzen yapısı bulundurması kaçınılmazdır. İdealde her saat çevriminde bir komutun yürütülmesi gerekirken uygulamada bazı komutların ard arda gelmesi komutun yürütülme süresini artırabilmektedir. Yapılan benzetim uygulamasında da gözleneceği üzere; bu problem ile ilgili birkaç örnek verilebilir: Movi ar, 0x5 Add ar, br Yukarıdaki örnekte, movi geri yazma işlemine gireceği sırada ardışık düzen özelliği dolayısıyla, add işleminin de yürütme işlemine girmesi gerekir. Movi komutu ar yazmacının değerini daha güncelleyememişken, add komutu, işlemini güncellenmemiş değeri kullanarak yapacaktır ve yanlış sonuç elde edilecektir. Bu problemin çözümü için, movi komutunun geri yazma işlemini yapması beklenmeli ve add işlemi bundan sonra yürütme öbeğinde işlem görmelidir. Başka bir problem aşağıdaki gibi bir kodda meydana gelir. Push ar Pop br Push ve pop komutları yığına veri yazmak ve yığından veri almak için kullanılır. Bu iki komut ard arda geldiği zaman şöyle bir durum oluşur. Push komutu geri yazma öbeğinde yığına yazmak için erişir. Pop işlemi bu esnada yürütme öbeğinde işlem görecek ve yığındaki veriyi çekmeye çalışacaktır. Yığına yazma ve okuma işlemi aynı anda yapılmak istenmektedir. Bu problem de ilk komutun geri yazma işlemine öncelik verilerek çözülür. Ardışık düzen hata denetimi öbeği bu şekildeki durumları anlayarak, öbekler için eş 8

12 zamanlama bilgisi üretip, gerekli durumlarda beklemelerini sağlamaktadır. Şekil 1.6 da bu yapının RTL şeması görülmektedir. Şekil 1.6. Ardışık düzen hata denetimi öbeğinin RTL şeması 9

13 2. CPU-KULIS DERLEYİCİSİ 2.1. Giriş Cpu-kulis mikroişlemcisi için tasarlanan ROM a benzetim için ilk değerler $readmemb komutu ile kaydedilmektedir. Bu komutu kullanarak herhangi bir bellek birimini ROM veya RAM olabilir- gerek benzetim gerekse sentez aşaması için ilklendirmek mümkündür. Program belleğine gidecek makine kodlarını elde etmek için ise bu proje kapsamında Cpu-Kulis derleyicisi tasarlanmıştır. Derleyici çıktı olarak program belleğini ilklendirmek için kullanılacak olan ikili kodları içeren output.txt isimli basit bir metin dosyası üretmektedir. İşlemcinin program belleği 256 satır ve genişliği 4 byte/satır dır. Her yığın verisi 16 bittir. RAM uzunluğu ise 1024 satırdır, genişliği de 2 byte/satır dır. Dolayısıyla 2KB RAM mevcuttur. Kullanılan komutların mikroişlemcinin anlayacağı makine komutlarına dönüştürülmesi işlemini tasarlanan derleyici halleder Derleyici Kullanımı Bir metin düzenleyici kullanılarak, asm program yazılır. Bu dosya kaydedilir. Örneğin; kaydedilen dosya d:\cpu\code.txt olsun. Derleyicinin, kaynak kodlarını derledikten sonra oluşan çalıştırılabilir dosya (compiler.exe), herhangi bir dizine kopyalanır, örneğin c:\compiler.exe. Komut satırından, bu dizine gidilir compiler [asm kodun bulunduğu dizin adresi] yazılarak derleme işlemi yapılır. C:\>compiler d:\cpu\code.txt Aktif dizin compiler.exe dosyasının bulunduğu dizin olmalıdır ve derleme işlemi sonucunda output.txt dosyası aktif dizinde oluşturulmaktadır. Bu dosya işlemci proje dosyasına kopyalanarak ROM un ilk değerlerini buradan alması sağlanır. 10

14 Derleyici bir komut için 32 bitlik işlem kodunun bölümleri Tablo 2.1 de görülmektedir. Tablo 2.2 de ise işlenenleri nasıl belirlendiğini gösteren karşılık tablosu bulunmaktadır. Tablo bitlik işlem kodunun bölümleri [31:26] Operatör kodu [25:22] 1. İşlenen [21:18] 2. İşlenen [17] 3. İşlenen [15:0] Sabit Tablo 2.2. İşlem kodu-işlenen karşılık tablosu 1. İşlenen 2. İşlenen 0000 A yazmacı A yazmacı 0001 B yazmacı B yazmacı 0010 C yazmacı C yazmacı 0011 D yazmacı D yazmacı > 0111 Kullanılmaz Kullanılmaz 1111 Sabit Sabit Komut kümesinin kullanımı: Tablo 2.3. Cpu-Kulis işlemcisinin komut kümesi ve aldığı işlenenleri Komut 1. işlenen 2. işlenen 3.işlenen İşlevi 1 Add Y Y Elde bayrağı İki işlenen toplanır, sonuç 1. 2 Addi Y S Elde bayrağı İki işlenen toplanır, sonuç 1. 3 Sub Y Y Elde bayrağı İki işlenen çıkartılır, sonuç 1. 4 Subi Y S Elde bayrağı İki işlenen çıkartılır, sonuç 1. 5 Mul Y YS İki işlenen çarpılır, sonuç 1. 6 Muli Y YS İki işlenen çarpılır, sonuç 1. 7 Mulu Y YS İki işlenen çarpılır, sonuç 1. 8 Cmp Y YS İki işlenen çıkartılır, sadece bayraklar etkilenir 9 And Y YS İki işlenen VE lenir, sonuç 1. 11

15 10 Andi Y S İki işlenen VE lenir, sonuç Or Y Y İki işlenen VEYA lanır, sonuç Ori Y YS İki işlenen VEYA lanır, sonuç Not Y İşlenenin DEĞİL lenir, geri yazılır 14 Xor Y Y İki işlenen XOR lanır. Sonuç Xori Y S İki işlenen XOR lanır. Sonuç Sll Y YS işlenen, 2.işlenen değeri kadar sola kaydırılır. Besleme sıfır ile yapılır. 17 Srl Y YS işlenen, 2.işlenen değeri kadar sağa kaydırılır. Besleme sıfır ile yapılır. 18 Sla Y YS işlenen, 2.işlenen değeri kadar sola kaydırılır. Besleme sıfır ile yapılır. 19 Sra Y YS işlenen, 2.işlenen değeri kadar sağa kaydırılır. Besleme işaret biti ile yapılır. 20 Lw Y YS işlenen ile gösterilen adresteki veri, Sw Y YS işlenendeki veri, 1.işlenen ile gösterilen adrese yazılır. 22 Mov Y Y işlenen değeri, Movi Y S işlenen değeri, Beq YS En son yapılan aritmetik işlem sonucu sıfırsa (sıfır bayrağı = 1 ) 1. işlenenin gösterdiği adrese dallan 25 Bne YS En son yapılan aritmetik işlem sonucu sıfır değilse (sıfır bayrağı = 0 ) 1. işlenenin gösterdiği adrese dallan 26 Ba YS İşlenenin gösterdiği adrese koşulsuz dallan 27 Bl YS işlenenin gösterdiği adrese koşulsuz dallan, geri dönüş adresini yığına at. 28 Nop İşlem yapma 29 Hlt Dur 30 Syscall YS İşlenen değeriyle RAM i adresle, RAM deki veriye dallan. 31 Push Y İşlenen değerini yığına yaz. 32 Pop Y yığından veri al ve işlenene yaz. 33 Ret Yığından geri dönüş adresini al ve dallan 12

16 Tablo 2.3 de Y ile yazmaç, S ile sabit, YS ile de yazmaç ve sabit kullanan işlemler gösterilmiştir. Dört adet yazmaç kullanılabilmektedir: ar, br, cr, dr Sembolik sabit tanımlama: #define <YAZI1> [YAZI2] Kod içerisinde kullanılan YAZI1 atomu YAZI2 ile değişecektir. Örnek; #define CPU_KULIS 1 Addi ar, CPU_KULIS ifadesi Addi ar, 1 ifadesi ile aynı anlama gelir Etiket tanımlama: Cpu-Kulis mikroişlemci derleyicisi etiket alabilme özelliğine de sahiptir. <ETİKET İSMİ> <:> İşlenenler sırasıyla girildiğinde işlemci sol tarafta girilen ismi etiket olarak algılayacaktır Başlama noktası tanımlama: org <sabit> Bu ifadeden sonra yazılacak kodlar sabit adres değerinden itibaren ROM a yerleştirilecektir.kod yazımına başlanırken, org komutu ile aksi belirtilmedikçe, ROM a sıfır adresinden itibaren kodlar yerleştirilmeye başlanacaktır. NOT: Açısal parantezler (< >) içerisine yazılan ifadeler kullanımı zorunlu olan ifadelerdir. Köşeli parantezler ([ ]) içerisine yazılan ifadelerde böyle bir zorunluluk yoktur. 13

17 3. KOMUTLARIN İŞLEM BASAMAKLARI Bu bölümde komutların işlem basamakları üzerinde durulacaktır. Bir komut komut çekme öbeğinden geri yazma öbeğine kadar hangi birimlerden geçmektedir, hangi komut için hangi birimler kullanılmaktadır sorularına yanıt verilecektir. Komutlar aritmetik, mantık ve dallanma komutları olarak 3 bölüme ayrılabilir. 1. Aritmetik Komutlar: add, addi, sub, subi, mul, muli, mulu 2. Mantık Komutları: cmp, and, andi, or, ori, not, xor, xori, sll, srl, sla, sra 3. Dallanma Komutları: beq, bne, ba, bl Aynı zamanda RAM den veri okuma ve RAM ye veri yazma komutlarının (sw, lw) ; sistem durdurma komutunun (hlt), yığından veri çekme, yığına veri yazma komutlarının (push, pop) ve kesme komutu olan (syscall) komutunun işlem basamakları da anlatılacaktır. Tüm komutlar için, komut çekme ve kod çözme bölümlerinde yapılan işlemler ortaktır. Komut çekme öbeğinde işlem kodu ROM dan okunur, Kod çözme bölümünde ise Yürütme öbeği için uygun sinyallere dönüşüm gerçekleşir. Aritmetik ve mantıksal işlemler için yürütme öbeğinde gerçekleşen işlemler şunlardır: Kod çözme bölümünden gelen sinyaller sayesinde, ALU için uygun işlemin seçimi yapılır. Bir saat darbesi süresinde işlem sonucu ALU nun çıkışında görülür. Elde bayrağı elde bitinin işleme dahil edilip edilmeyeceğini belirtir. Elde biti kullanılmak isteniyorsa elde bayrağı 1 olmalıdır, istenmiyorsa 0 olmalıdır. Eğer elde bayrağı değeri kullanılmazsa bu değer 0 olarak kabul edilmektedir. Dallanma komutları için yürütme öbeğinde gerçekleşen işlemler şunlardır: Eğer ;dallanma işlemi gerçekleştirilecekse, geri dönüş adresi yığına atılır ve yürütme öbeği çıkışında, dallanılacak adres verisi ve dallanma işleminin yapılmasını belirten bir bayrak verisi görülür. 14

18 Bellek komutları için yürütme öbeğinde gerçekleşen işlemler şunlardır: LW komutu için, 2. işlenen değeri ile RAM adreslenir ve o adresteki bilgi RAM çıkışında elde edilir. SW komutu için gerekli 2. işlenenin çoğullayıcılarla ALU girişine getirilmesi sağlanır. ALU bu değeri değiştirmeden çıkışına verir. Yığın komutları için yürütme öbeğinde gerçekleşen işlemler şunlardır: Pop komutunda, yığındaki veri çekilir, veri yığın çıkışında görülür. Push komutu için, komut işleneninin çoğullayıcılarla ALU girişine getirilmesi sağlanır. ALU bu değeri değiştirmeden çıkışa verir. SYSCALL komutu için Yürütme öbeğinde gerçekleşen işlemler şunlardır: İşlenen değeri ile RAM adreslenir, aynı anda geri dönüş adresi yığına atılır. Tüm komutlar için, kod çözme öbeği çıkışında, geri yazma öbeği için Tablo 1.2 de görülen hedef ve kaynak verileri üretilir. Bu verilere göre geri yazma öbeği yürütme bölümünde hesaplanan sonucun istenilen hedefe yazılması için gerekli sinyalleri üretir. HALT Komutu: Halt komutu geldiği zaman kod çözme öbeğinin çıkışında bu bildirilir. Bu bilgiye göre; işlemlerin yürütülmesine devam edilir. Eğer; halt komutu gelmiş ise; en son işlenen komutun geri yazma işlemine izin verildikten sonra başka işlem yapılmaz. Reset işlemi ile işlemci yeniden başlatılabilir. 15

CPU TURKEY CPU-KULIS MİKROİŞLEMCİSİ ÇALIŞMA RAPORU

CPU TURKEY CPU-KULIS MİKROİŞLEMCİSİ ÇALIŞMA RAPORU CPU TURKEY CPU-KULIS MİKROİŞLEMCİSİ ÇALIŞMA RAPORU Proje Danışmanı: Prof. Dr. Sarp ERTÜRK, Kocaeli Üniversitesi, Elektronik ve Haberleşme Mühendisliği Bölümü Proje Yetkilisi: Arş. Gör. Anıl ÇELEBİ, Kocaeli

Detaylı

KASIRGA -4 Buyruk Tasarımı Belgesi. 30.04.2008 Ankara

KASIRGA -4 Buyruk Tasarımı Belgesi. 30.04.2008 Ankara KASIRGA -4 Buyruk Tasarımı Belgesi 30.04.2008 Ankara 1 İŞLEMLER 00000000 SYSCALL 00000001 HLT 00000010 DEBUG 00000011 CONTINUE S-TİPİ 00000100 NOP 00000101 IN 00000110 OUT 00000111 BRET 00001000 ADD 00001001

Detaylı

K uark projesi. Temel Özellikler :

K uark projesi. Temel Özellikler : K uark projesi Temel Özellikler : Kuark işlemcisi 16 bit kelime uzunluğuna sahip bir işlemcidir. Veri ve komut belleği aynıdır ve en fazla 4 Gigabyte bellek adresleyebilir. İşlemcimiz paralel çalışabilecek

Detaylı

KASIRGA 4. GELİŞME RAPORU

KASIRGA 4. GELİŞME RAPORU KASIRGA 4. GELİŞME RAPORU 14.07.2008 Ankara İçindekiler İçindekiler... 2 Giriş... 3 Kasırga Birimleri... 3 Program Sayacı Birimi... 3 Bellek Birimi... 3 Yönlendirme Birimi... 4 Denetim Birimi... 4 İşlem

Detaylı

Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ. MİB Yapısı. MİB in İç Yapısı. MİB Altbirimleri. MİB in İç Yapısı

Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ. MİB Yapısı. MİB in İç Yapısı. MİB Altbirimleri. MİB in İç Yapısı Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ Doç. Dr. Şule Gündüz Öğüdücü http://ninova.itu.edu.tr/tr/dersler/bilgisayar-bilisim-fakultesi/0/blg-1/ Merkezi İşlem Birimi (MİB): Bilgisayarın temel birimi

Detaylı

x86 Ailesi Mikroişlemciler ve Mikrobilgisayarlar

x86 Ailesi Mikroişlemciler ve Mikrobilgisayarlar x86 Ailesi 1 8085A,8088 ve 8086 2 Temel Mikroişlemci Özellikleri Mikroişlemcinin bir defade işleyebileceği kelime uzunluğu Mikroişlemcinin tek bir komutu işleme hızı Mikroişlemcinin doğrudan adresleyebileceği

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6 DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6 16 Bitlik R Tipi İçin ALTERA MAX-PLUS-II VHDL de Tam Tek Saat Veri Yolu Birimi Amaç: Tek-Saat

Detaylı

Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ. MİB Yapısı. MİB Altbirimleri. Durum Kütüğü. Yardımcı Kütükler

Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ. MİB Yapısı. MİB Altbirimleri. Durum Kütüğü. Yardımcı Kütükler Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ Yrd. Doç. Dr. Şule Gündüz Öğüdücü Merkezi İşlem Birimi (MİB): Bilgisayarın temel birimi Hız Sözcük uzunluğu Buyruk kümesi Adresleme yeteneği Adresleme kapasitesi

Detaylı

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar) Bus

Detaylı

Mikroişlemcili Sistemler ve Laboratuvarı 8.Hafta

Mikroişlemcili Sistemler ve Laboratuvarı 8.Hafta SAKARYA ÜNİVERSİTESİ Bilgisayar ve Bilişim Bilimleri Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemcili Sistemler ve Laboratuvarı 8.Hafta Doç.Dr. Ahmet Turan ÖZCERİT Doç.Dr. Cüneyt BAYILMIŞ Yrd.Doç.Dr.

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar)

Detaylı

PROGRAMLAMAYA GİRİŞ. Öğr. Gör. Ayhan KOÇ. Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay.

PROGRAMLAMAYA GİRİŞ. Öğr. Gör. Ayhan KOÇ. Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay. PROGRAMLAMAYA GİRİŞ Öğr. Gör. Ayhan KOÇ Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay., 2007 Algoritma ve Programlamaya Giriş, Ebubekir YAŞAR, Murathan Yay., 2011

Detaylı

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi Buse Ustaoğlu Berna Örs Yalçın İçerik Giriş Çalişmanın Amacı Mikroişlemciye Hata Enjekte Etme Adımları Hata Üreteci Devresi

Detaylı

Şekil. 64 Kelimelik Yığıtın Blok Şeması

Şekil. 64 Kelimelik Yığıtın Blok Şeması 1 YIĞIT (STACK) KURULUMU Çoğu bilgisayarın MİB de yığıt veya LIFO (Last In First Out) bulunur. Yığıt bir bellek parçasıdır ve son depolanan bilgi ilk geri dönen bilgi olur. Yığıta aktarılan son bilgi yığıtın

Detaylı

9. MERKEZİ İŞLEM BİRİM MODÜLÜ TASARIMI

9. MERKEZİ İŞLEM BİRİM MODÜLÜ TASARIMI 1 9. MERKEZİ İŞLEM BİRİM MODÜLÜ TASARIMI Mikroişlemci temelli sistem donanımının en önemli kısmı merkezi işlem birimi modülüdür. Bu modülü tasarlamak için mikroişlemcinin uç işlevlerinin çok iyi bilinmesi

Detaylı

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme PROGRAMIN ADI DERSIN KODU VE ADI DERSIN ISLENECEGI DÖNEM HAFTALIK DERS SAATİ DERSİN SÜRESİ ENDÜSTRİYEL ELEKTRONİK MİK.İŞLEMCİLER/MİK.DENETLEYİCİLER-1 2. Yıl, III. Yarıyıl (Güz) 4 (Teori: 3, Uygulama: 1,

Detaylı

MTM 305 MİKROİŞLEMCİLER

MTM 305 MİKROİŞLEMCİLER KARABÜK ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ MTM 305 MİKROİŞLEMCİLER Arş. Gör. Emel SOYLU Arş. Gör. Kadriye ÖZ Alt Programlar (Procedure) Büyük programları tek bir kod bloğu

Detaylı

Mikroçita. Mikroçita Rapor 2:

Mikroçita. Mikroçita Rapor 2: Mikroçita Rapor 2: İşlemci projemizle ilgili olarak hazırlamış olduğumuz bu ikinci raporda öncelikli olarak vhdl kullanarak tasarladığımız işlemcimizin genel çalışmasını ilk rapora göre daha ayrıntılı

Detaylı

BM 375 Bilgisayar Organizasyonu Dersi Vize Sınavı Cevapları 10 Nisan 2009

BM 375 Bilgisayar Organizasyonu Dersi Vize Sınavı Cevapları 10 Nisan 2009 1-) Instruction Cycle State Diagram ı çizip herbir state için gerçekleştirilen işlemleri detaylı bir şekilde açıklayınız. Instruction state cycle da üstteki kısımlar CPU dışında alttaki kısımlar CPU içinde

Detaylı

Bilgisayarda Programlama. Temel Kavramlar

Bilgisayarda Programlama. Temel Kavramlar Bilgisayarda Programlama Temel Kavramlar KAVRAMLAR Programlama, yaşadığımız gerçek dünyadaki problemlere ilişkin çözümlerin bilgisayarın anlayabileceği bir biçime dönüştürülmesi / ifade edilmesidir. Bunu

Detaylı

Hem lw hem de sw komutlarının ofseti 16-bitlik işaretli tamsayıdır.

Hem lw hem de sw komutlarının ofseti 16-bitlik işaretli tamsayıdır. DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #2 DİZİLERE ERİŞİMDE MIPS BELLEK TALİMATLARI Amaç: Veri bölütü kullanımını ve tek-modüllü dizi

Detaylı

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN Bahar Dönemi Öğr.Gör. Vedat MARTTİN Merkezi İşlemci Biriminde İletişim Yolları Mikroişlemcide işlenmesi gereken komutları taşıyan hatlar yanında, işlenecek verileri taşıyan hatlar ve kesme işlemlerini

Detaylı

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN Bahar Dönemi Öğr.Gör. Vedat MARTTİN 8086/8088 MİKROİŞLEMCİSİ İÇ MİMARİSİ Şekilde x86 ailesinin 16-bit çekirdek mimarisinin basitleştirilmiş bir gösterimi verilmiştir. Mikroişlemci temel iki ayrı çalışma

Detaylı

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK Mikroişlemci HAFTA 1 HAFIZA BİRİMLERİ Program Kodları ve verinin saklandığı bölüm Kalıcı Hafıza ROM PROM EPROM EEPROM FLASH UÇUCU SRAM DRAM DRRAM... ALU Saklayıcılar Kod Çözücüler... GİRİŞ/ÇIKIŞ G/Ç I/O

Detaylı

KOMUT TABLOSU İLE İLGİLİ AÇIKLAMALAR:

KOMUT TABLOSU İLE İLGİLİ AÇIKLAMALAR: KOMUT TABLOSU İLE İLGİLİ AÇIKLAMALAR: 1) Etkilenen Bayraklar (E.B.) : Bazı komutlar koşturulurken PSW saklayacısındaki bayrakların değeri değişebilir. Herbir komut için etkilenen bayraklar belirtilmiştir.

Detaylı

Programlama Dilleri 1. Ders 12: Belirleyiciler ve Niteleyiciler

Programlama Dilleri 1. Ders 12: Belirleyiciler ve Niteleyiciler Programlama Dilleri 1 Ders 12: Belirleyiciler ve Niteleyiciler Genel Bakış Giriş Yer Belirleyicilerle Bildirim İşlemi auto Belirleyicisi register Belirleyicisi static Belirleyicisi Statik ve Global Değişkenlerin

Detaylı

PIC16F877A nın Genel Özellikleri

PIC16F877A nın Genel Özellikleri BÖLÜM 3 PIC16F877A nın Genel Özellikleri 3.1 Mikrodenetleyici Mimarisi 3.2 PIC16Fxxx Komut Seti 3.3 PIC16F877A Bellek Organizasyonu 3.4 Giriş/Çıkış Portları 3.5 STATUS ve TRIS Kaydedicileri 3.6 Kesme ve

Detaylı

8. MİKROİŞLEMCİ MİMARİSİ

8. MİKROİŞLEMCİ MİMARİSİ 1 8. MİKROİŞLEMCİ MİMARİSİ Gelişen donanım ve yazılım teknolojilerine ve yonga üreticisine bağlı olarak mikroişlemcilerin farklı komut tipleri, çalışma hızı ve şekilleri vb. gibi donanım ve yazılım özellikleri

Detaylı

İşletim Sistemlerine Giriş

İşletim Sistemlerine Giriş İşletim Sistemlerine Giriş İşletim Sistemleri ve Donanım İşletim Sistemlerine Giriş/ Ders01 1 İşletim Sistemi? Yazılım olmadan bir bilgisayar METAL yığınıdır. Yazılım bilgiyi saklayabilir, işleyebilir

Detaylı

Bilgisayar Donanım 2010 BİLGİSAYAR

Bilgisayar Donanım 2010 BİLGİSAYAR BİLGİSAYAR CPU, bellek ve diğer sistem bileşenlerinin bir baskı devre (pcb) üzerine yerleştirildiği platforma Anakart adı verilmektedir. Anakart üzerinde CPU, bellek, genişleme yuvaları, BIOS, çipsetler,

Detaylı

KASIRGA PROJESİ 2. GELİŞME RAPORU

KASIRGA PROJESİ 2. GELİŞME RAPORU KASIRGA PROJESİ 2. GELİŞME RAPORU 29.04.2008 Ankara İçindekiler 1.GİRİŞ... 4 2. KASIRGA İŞLEMCİSİ... 5 2.1 Kasırga Buyruk Tasarımı... 5 2.2 Kasırga 1... 7 2.2.1 Kasırga 1 Sanal Tasarım... 10 2.2.2 Kasırga

Detaylı

DERS 4 MİKROİŞLEMCİ PROGRAMLAMA İÇERİK

DERS 4 MİKROİŞLEMCİ PROGRAMLAMA İÇERİK DERS 4 İÇERİK Yüksek seviyeli programlama dilleri Düşük sevyeli programlama dilleri Assembler Derleyici Program algoritmalarında yapılan işlemleri Ders 4, Slayt 2 1 GİRİŞ Mikroişlemciler dersinde giriş

Detaylı

Komutların Yürütülmesi

Komutların Yürütülmesi Komutların Yürütülmesi Bilgisayar Bileşenleri: Genel Görünüm Program Sayacı Komut kaydedicisi Bellek Adres Kaydedicisi Ara Bellek kaydedicisi G/Ç Adres Kaydedicisi G/Ç ara bellek kaydedicisi 1 Sistem Yolu

Detaylı

Bitirme Ödevi Sunumu PLATFORM BAĞIMSIZ BENZETİM PROGRAMI. Danışman : Yrd.Doç.Dr. D Feza BUZLUCA Gökhan Akın ŞEKER

Bitirme Ödevi Sunumu PLATFORM BAĞIMSIZ BENZETİM PROGRAMI. Danışman : Yrd.Doç.Dr. D Feza BUZLUCA Gökhan Akın ŞEKER Bitirme Ödevi Sunumu BERKELEY RISC I işlemcisi İÇİN PLATFORM BAĞIMSIZ BENZETİM PROGRAMI Danışman : Yrd.Doç.Dr. D Feza BUZLUCA 0495 0639 Sunum Planı Ödev konusu hakkında Berkeley RISC I işlemcisi hakkında

Detaylı

Von Neumann Mimarisi. Mikroişlemciler ve Mikrobilgisayarlar 1

Von Neumann Mimarisi. Mikroişlemciler ve Mikrobilgisayarlar 1 Von Neumann Mimarisi Mikroişlemciler ve Mikrobilgisayarlar 1 Sayısal Bilgisayarın Tarihsel Gelişim Süreci Babage in analitik makinası (1833) Vakumlu lambanın bulunuşu (1910) İlk elektronik sayısal bilgisayar

Detaylı

MİKROBİLGİSAYAR SİSTEMLERİ VE ASSEMBLER

MİKROBİLGİSAYAR SİSTEMLERİ VE ASSEMBLER BÖLÜM 2 INTEL AİLESİNİN 8 BİTLİK MİKROİŞLEMCİLERİ 2.1 8080 MİKROİŞLEMCİSİ Intel 8080, I4004, I4040 ve I8008 in ardından üretilmiştir ve 8 bitlik mikroişlemcilerin ilkidir ve 1974 te kullanıma sunulmuştur.

Detaylı

Mikrobilgisayarlar ve Assembler. Bahar Dönemi. Vedat Marttin

Mikrobilgisayarlar ve Assembler. Bahar Dönemi. Vedat Marttin Mikrobilgisayarlar ve Assembler Bahar Dönemi Vedat Marttin Bellek Haritası Mikroişlemcili örnek bir RAM, ROM ve G/Ç adres sahalarının da dahil olduğu toplam adres uzayının gösterilmesinde kullanılan sisteme

Detaylı

8086 Mikroişlemcisi Komut Seti

8086 Mikroişlemcisi Komut Seti 8086 Mikroişlemcisi Komut Seti X86 tabanlı mikroişlemcilerin icra ettiği makine kodları sabit olmasına rağmen, programlama dillerinin komut ve ifadeleri farklı olabilir. Assembly programlama dilininde

Detaylı

Kasırga [Gizli] KASIRGA PROJESİ 3. GELİŞME RAPORU. 16.06.2008 Ankara

Kasırga [Gizli] KASIRGA PROJESİ 3. GELİŞME RAPORU. 16.06.2008 Ankara KASIRGA PROJESİ 3. GELİŞME RAPORU 16.06.2008 Ankara İÇINDEKILER 1. KASIRGA İşlemcisi... 3 2. Tasarım Aşamaları... 3 2.1. Sanal Tasarım... 3 2.1.1. Buyruk Tasarımı... 3 2.2. Mimari Tasarımı... 4 2.2.1.

Detaylı

Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü

Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü 1 BİLGİSAYAR MİMARİSİ Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü http:// http:// Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/

Detaylı

Adresleme Modları. Mikroişlemciler ve Mikrobilgisayarlar

Adresleme Modları. Mikroişlemciler ve Mikrobilgisayarlar Adresleme Modları 1 Adresleme Modları İşlenenin nerede olacağını belirtmek için kullanılırlar. Kod çözme aşamasında adresleme yöntemi belirlenir ve işlenenin nerede bulunacağı hesaplanır. Mikroişlemcide

Detaylı

2011 Bahar Dönemi. Öğr.Gör. Vedat MARTTİN

2011 Bahar Dönemi. Öğr.Gör. Vedat MARTTİN 2011 Bahar Dönemi Öğr.Gör. Vedat MARTTİN ADRESLEME YÖNTEMLERİ Komut yazımında en önemli konulardan biri, adresleme yöntemidir. Adresleme yöntemi, işlenenin nerede bulunacağını belirtmek için kullanılan

Detaylı

MIKRODENETLEYICILER. Ege Üniversitesi Ege MYO Mekatronik Programı

MIKRODENETLEYICILER. Ege Üniversitesi Ege MYO Mekatronik Programı MIKRODENETLEYICILER Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 3 MSC-51 Ailesi Mikrodenetleyicilerin Komut Kümesi Mikroişlemci Programlama Mikroişlemci ikilik komutlar kabul eder ve sonuçlarını

Detaylı

Program Nedir? Program, bir problemin çözümü için herhangi bir programlama dilinin kuralları ile oluşturulmuş komut kümesidir.

Program Nedir? Program, bir problemin çözümü için herhangi bir programlama dilinin kuralları ile oluşturulmuş komut kümesidir. PROGRAMLAMAYA GİRİŞ Program Nedir? Program, bir problemin çözümü için herhangi bir programlama dilinin kuralları ile oluşturulmuş komut kümesidir. C de yazılan bir programın çalışması için çoğunlukla aşağıdaki

Detaylı

Adresleme Yöntemleri MİKROİŞLEMCİ SİSTEMLERİ. İşlenenin Yeri. Örnek MİB Buyruk Yapısı. İvedi Adresleme. Adresleme Yöntemleri. Bellek. Kütükler.

Adresleme Yöntemleri MİKROİŞLEMCİ SİSTEMLERİ. İşlenenin Yeri. Örnek MİB Buyruk Yapısı. İvedi Adresleme. Adresleme Yöntemleri. Bellek. Kütükler. Adresleme Yöntemleri MİKROİŞLEMCİ SİSTEMLERİ Doç. Dr. Şule Gündüz Öğüdücü http://ninova.itu.edu.tr/tr/dersler/bilgisayar-bilisim-fakultesi/0/blg-/ Getirme Çevrimi Yürütme Çevrimi Çözme İşlenen Yürütme

Detaylı

Basit Işık Kontrolü. 1. Bit, Byte, Word, Double Word kavramları:

Basit Işık Kontrolü. 1. Bit, Byte, Word, Double Word kavramları: Basit Işık Kontrolü TUNCELİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRİK - ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ OTOMASYON LABORATUVARI DENEY NO:1 1. Bit, Byte, Word, Double Word kavramları: PLC lerde veriler

Detaylı

Bilgisayar Yapısı MİKROİŞLEMCİ SİSTEMLERİ. Bilgisayar Temel Birimleri. MİB Yapısı. Kütükler. Kütükler

Bilgisayar Yapısı MİKROİŞLEMCİ SİSTEMLERİ. Bilgisayar Temel Birimleri. MİB Yapısı. Kütükler. Kütükler Bilgisayar Yapısı MİKROİŞLEMCİ SİSTEMLERİ Yrd. oç. r. Şule ündüz Öğüdücü Bilgisayar verilen verileri, belirlenen bir programa göre işleyen, istenildiğinde saklayabilen, gerektiği zaman geriye verebilen

Detaylı

Mikrobilgisayar Mimarisi ve Programlama

Mikrobilgisayar Mimarisi ve Programlama Mikrobilgisayar Mimarisi ve Programlama 2. Hafta Bellek Birimleri ve Programlamaya Giriş Doç. Dr. Akif KUTLU Ders web sitesi: http://www.8051turk.com/ http://microlab.sdu.edu.tr Bellekler Bellekler 0 veya

Detaylı

Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/

Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/ Eşzamanlı (Senkron) Ardışıl Devrelerin Tasarlanması (Design) Bir ardışıl devrenin tasarlanması, çözülecek olan problemin sözle anlatımıyla (senaryo) başlar. Bundan sonra aşağıda açıklanan aşamalardan geçilerek

Detaylı

Hacettepe Robot Topluluğu

Hacettepe Robot Topluluğu Hacettepe Robot Topluluğu PIC Assembly Dersleri 1. Ders: PIC Programlamaya Giriş HUNRobotX - PIC Assembly Dersleri 1. Ders: PIC Programlamaya Giriş Yazan: Kutluhan Akman, Düzenleyen: Canol Gökel - 4 Haziran

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #5 16 Bitlik R Tipi İçin ALTERA MAX-PLUS-II VHDL de Tek Saat Veri Yolu Birimi 1.Giriş Bu deneyde

Detaylı

MIKRODENETLEYICILER. Ege Üniversitesi Ege MYO Mekatronik Programı

MIKRODENETLEYICILER. Ege Üniversitesi Ege MYO Mekatronik Programı MIKRODENETLEYICILER Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 3 Assembler Programlama ve Program Geliştirme Program Geliştirme Problem Tanımlama Bağlantı Şekli Algoritma Akış Diyagramı Kaynak

Detaylı

1. PROGRAMLAMAYA GİRİŞ

1. PROGRAMLAMAYA GİRİŞ 1. PROGRAMLAMAYA GİRİŞ Bilgisayardaki İşlem Akışı Hammadde İşletme Makine, Teçhizat vs. İnsan Ürün Veri Bilgisayar Program İnsan Sonuç Bilgisayarın Genel Bileşenleri Bilgisayar Yazılım Donanım Sistem Uygulama

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Giriş Mikro işlemler Fetch cycle Indirect cycle Interrupt cycle Execute cycle Instruction

Detaylı

Mikrobilgisayar Sistemleri ve Assembler

Mikrobilgisayar Sistemleri ve Assembler Mikrobilgisayar Sistemleri ve Assembler Bahar Dönemi Öğr.Gör. Vedat MARTTİN Konu Başlıkları Mikrobilgisayar sisteminin genel yapısı,mimariler,merkezi işlem Birimi RAM ve ROM bellek özellikleri ve Çeşitleri

Detaylı

CITIUS-ALTIUS-FORTIUS

CITIUS-ALTIUS-FORTIUS CITIUS-ALTIUS-FORTIUS PROCESSOR Versiyon 1.02 15/04/2008 PROJE SORUMLUSU : BERKAY AYBAR MURAT GOKSEL E-MAIL : baybar@gmail.com, mgoksel@gmail.com ICINDEKILER Tablo Listesi... Figur Listesi... 1 GIRIS...

Detaylı

SIMAN KULLANIM KILAVUZU

SIMAN KULLANIM KILAVUZU SIMAN KULLANIM KILAVUZU Önder Öndemir SIMAN Simülasyon programı Model Çatı ve Deneysel Çatı olmak üzere iki kısımdan oluşur. Model çatı genel itibariyle modullerin ve işlem bloklarının yazıldığı kısımdır.

Detaylı

BİLGİSAYAR MİMARİSİ. Bilgisayar Bileşenleri Ve Programların Yürütülmesi. Özer Çelik Matematik-Bilgisayar Bölümü

BİLGİSAYAR MİMARİSİ. Bilgisayar Bileşenleri Ve Programların Yürütülmesi. Özer Çelik Matematik-Bilgisayar Bölümü BİLGİSAYAR MİMARİSİ Bilgisayar Bileşenleri Ve Programların Yürütülmesi Özer Çelik Matematik-Bilgisayar Bölümü Program Kavramı Bilgisayardan istenilen işlerin gerçekleştirilebilmesi için gereken işlem dizisi

Detaylı

BİL 423 Bilgisayar Mimarisi 1. Ara Sınavı

BİL 423 Bilgisayar Mimarisi 1. Ara Sınavı MALTEPE ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSİĞİ BÖLÜMÜ BİL 423 Bilgisayar Mimarisi 1. Ara Sınavı Öğrenci Adı Soyadı : Öğrenci no : Akademik yıl : 2015-2016 Dönem : Güz Tarih : 4.11.2015 Sınav yeri : MZ-4 Sınav

Detaylı

İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUARI İKİLİ TABANDA ÇOK BAYTLI ÇARPMA

İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUARI İKİLİ TABANDA ÇOK BAYTLI ÇARPMA İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUARI İKİLİ TABANDA ÇOK BAYTLI ÇARPMA Aritmetik işlemler onlu sayı sisteminde yapılabileceği gibi diğer sayı sistemleri

Detaylı

FORMÜLLER VE FONKSİYONLAR

FORMÜLLER VE FONKSİYONLAR C FORMÜLLER VE FONKSİYONLAR Konuya Hazırlık 1. Excel de formül kullanmanın faydalarını açıklayınız. Formüller, bir sayfadaki verileri kullanarak işlem yapan denklemlerdir. Bir formülde, aynı sayfadaki

Detaylı

HSancak Nesne Tabanlı Programlama I Ders Notları

HSancak Nesne Tabanlı Programlama I Ders Notları DİZİLER Bellekte ard arda yer alan aynı türden nesneler kümesine dizi (array) denilir. Bir dizi içerisindeki bütün elemanlara aynı isimle ulaşılır. Yani dizideki bütün elemanların isimleri ortaktır. Elemanlar

Detaylı

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN Bilgisayar Mühendisliğine Giriş Yrd.Doç.Dr.Hacer KARACAN Mikroişlemci Nedir? Bir bilgisayarın en önemli parçası Mikroişlemcisidir. Hiçbir bilgisayar mikroişlemci olmadan çalışamaz. Bu nedenle Mikroişlemci

Detaylı

BİLGİSAYAR MİMARİSİNDE YENİ YAKLAŞIMLAR DÖNEM PROJESİ

BİLGİSAYAR MİMARİSİNDE YENİ YAKLAŞIMLAR DÖNEM PROJESİ BİLGİSAYAR MİMARİSİNDE YENİ YAKLAŞIMLAR DÖNEM PROJESİ P6 MİMARİSİ MUSTAFA ÇAYIR 704062001 İÇİNDEKİLER 1. Intel İşlemcilerinin Tarihi Gelişimi... 3 2. X86 Komut Kümesi... 5 2.1. X86 Yazmaçları... 5 2.2.

Detaylı

Computer Architecture. RAID: (Redundant Array of Independent/Inexpensive Disks)

Computer Architecture. RAID: (Redundant Array of Independent/Inexpensive Disks) Ders 10 RAID: (Redundant Array of Independent/Inexpensive Disks) İşletim sistemi RAID arayüzü ile birbirine bağlanmış diskleri tek disk gibi görmektedir. Yedekleme işlemi işletim sisteminin çoğunlukla

Detaylı

Adresleme Yöntemleri MİKROİŞLEMCİ SİSTEMLERİ. Örnek MİB ile Adresleme. Adresleme Yöntemleri. Doğal Adresleme. İvedi Adresleme

Adresleme Yöntemleri MİKROİŞLEMCİ SİSTEMLERİ. Örnek MİB ile Adresleme. Adresleme Yöntemleri. Doğal Adresleme. İvedi Adresleme Adresleme Yöntemleri MİKROİŞLEMCİ SİSTEMLERİ Yrd. Doç. Dr. Şule Gündüz Öğüdücü www.cs.itu.edu.tr/~gunduz/courses/mikroisl/ İşlenenin nerde olacağını belirtmek için kullanılır. Buyruk çözme aşamasında adresleme

Detaylı

Mimari Esaslar. Mikroişlemcinin mimari esasları; Kaydediciler Veriyolları İş hatları dır.

Mimari Esaslar. Mikroişlemcinin mimari esasları; Kaydediciler Veriyolları İş hatları dır. Mimari Esaslar Mikroişlemcinin mimari esasları; Kaydediciler Veriyolları İş hatları dır. Bu unsurların büyüklüğü, sayısı ve yapısı o işlemcinin yeteneklerini belirler. Mimari farlılıklarda; bu konularda

Detaylı

DENİZ HARP OKULU BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ

DENİZ HARP OKULU BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ DENİZ HARP OKULU BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ Dersin Adı Kodu Sınıf/Y.Y. Ders Saati (T+U+L) Kredi AKTS Bilgisayar Organizasyonu ve Mimarisi BİM-312 3/I 3+0+0 3 4 Dersin

Detaylı

İ.T.Ü. Eğitim Mikrobilgisayarının Tanıtımı

İ.T.Ü. Eğitim Mikrobilgisayarının Tanıtımı İ.T.Ü. Eğitim Mikrobilgisayarının Tanıtımı 1.1 Giriş İTÜ Eğitim Mikrobilgisayarı (İTÜ-Eğit) MC6802 mikroişlemcisini kullanan bir eğitim ve geliştirme bilgisayarıdır. İTÜ-Eğit, kullanıcıya, mikrobilgisayarın

Detaylı

DİSK DEPOLAMA ALANLARI

DİSK DEPOLAMA ALANLARI DİSK DEPOLAMA ALANLARI 1. Giriş İşlemci hızı ve hafıza kapasitesinin disk hızından çok daha hızlı bir gelişim içinde bulunduğu göz önüne alınırsa, disk kullanımında teorik ilgi ve uygulamanın önemliliği

Detaylı

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür.

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür. İŞLEMCİLER (CPU) Mikroişlemci Nedir? Mikroişlemci, hafıza ve giriş/çıkış birimlerini bulunduran yapının geneline mikrobilgisayar; CPU' yu bulunduran entegre devre çipine ise mikroişlemci denir. İşlemciler

Detaylı

Uzaktan Eğitim Uygulama ve Araştırma Merkezi

Uzaktan Eğitim Uygulama ve Araştırma Merkezi JAVA PROGRAMLAMA Öğr. Gör. Utku SOBUTAY İÇERİK 2 Java da Fonksiyon Tanımlamak Java da Döngüler Java da Şart İfadeleri Uygulamalar Java da Fonksiyon Tanımlamak JAVA DA FONKSİYON TANIMLAMAK 4 Fonksiyonlar;

Detaylı

William Stallings Computer Organization and Architecture 9 th Edition

William Stallings Computer Organization and Architecture 9 th Edition William Stallings Computer Organization and Architecture 9 th Edition Bölüm 5 İç Hafıza Bir Hafıza Hücresinin Çalışması Bütün hafıza hücrelerinin ortak özellikleri vardır: 0 ve 1 durumundan birini gösterirler

Detaylı

Program Kontrol Komutları. Mikroişlemciler ve Mikrobilgisayarlar 1

Program Kontrol Komutları. Mikroişlemciler ve Mikrobilgisayarlar 1 Program Kontrol Komutları Mikroişlemciler ve Mikrobilgisayarlar 1 Bu başlık, altında incelenecek olan komutlar program akışını oluşan bazı koşullara göre değiştirmektedirler Program akışında meydana gelen

Detaylı

İvme VGA, İvme s_2.1 fiziksel işlemci çekirdeğinin, çalışan iç yapısının herhangi bir simülasyon olmaksızın fiziksel olarak dış dünyaya aktarımıdır.

İvme VGA, İvme s_2.1 fiziksel işlemci çekirdeğinin, çalışan iç yapısının herhangi bir simülasyon olmaksızın fiziksel olarak dış dünyaya aktarımıdır. 1 İVME VGA İvme VGA, İvme s_2.1 fiziksel işlemci çekirdeğinin, çalışan iç yapısının herhangi bir simülasyon olmaksızın fiziksel olarak dış dünyaya aktarımıdır. Genel olarak yazmaçlar, hafıza elemanlarından

Detaylı

Sınav tarihi : Süre : 60 dak. a) strstr b) strchr c) strcat d) strcpy e) strlen. a) b) d) e) 0

Sınav tarihi : Süre : 60 dak. a) strstr b) strchr c) strcat d) strcpy e) strlen. a) b) d) e) 0 Selçuk Üniversitesi, Mühendislik Fakültesi, Harita Mühendisliği Bölümü Bitirme Sınavı Test Soruları Adı soyadı : Öğrenci no : Sınav tarihi : 01.06.2017 Süre : 60 dak. 1. t değişkeni hakkında aşağıdakilerden

Detaylı

Algoritmalar ve Programlama. Algoritma

Algoritmalar ve Programlama. Algoritma Algoritmalar ve Programlama Algoritma Algoritma Bir sorunu / problemi çözmek veya belirli bir amaca ulaşmak için gerekli olan sıralı mantıksal adımların tümüne algoritma denir. Algoritma bir sorunun çözümü

Detaylı

ALGORİTMALAR. Turbo C Bilgisayarda Problem Çözme Adımları. Bilgisayarda Problem Çözme Adımları.

ALGORİTMALAR. Turbo C Bilgisayarda Problem Çözme Adımları. Bilgisayarda Problem Çözme Adımları. Turbo C ++ 3.0 ALGORİTMALAR http://vaibhavweb.tripod.com/others/tc3.zip http://www.top4download.com/turbo-c- /aklqwuba.html 1 2 Bilgisayarda Problem Çözme Adımları Bilgisayarda Problem Çözme Adımları 1-Problemi

Detaylı

8051 Ailesi MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur çekirdeğinin temel özellikkleri aşağıda verilmiştir:

8051 Ailesi MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur çekirdeğinin temel özellikkleri aşağıda verilmiştir: 8051 Ailesi 8051 MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur. 8051 çekirdeğinin temel özellikkleri aşağıda verilmiştir: 1. Kontrol uygulamaları için en uygun hale getirilmiş

Detaylı

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi Bil101 Bilgisayar Yazılımı I Bilgisayar Yüksek Mühendisi Kullanıcıdan aldığı veri ya da bilgilerle kullanıcının isteği doğrultusunda işlem ve karşılaştırmalar yapabilen, veri ya da bilgileri sabit disk,

Detaylı

Yazılım Mühendisliğine Giriş 4. Hafta 2016 GÜZ

Yazılım Mühendisliğine Giriş 4. Hafta 2016 GÜZ Yazılım Mühendisliğine Giriş 4. Hafta 2016 GÜZ 1 İkinci Kuşak Bilgisayarlar 1956-1963: Transistor Transistor 1947 yılında keşfedilmiştir. 50 li yılların sonuna kadar bilgisayarlarda yaygın kullanımı görülmez.

Detaylı

BİLGİSAYAR MİMARİSİ. << Bus Yapısı >> Özer Çelik Matematik-Bilgisayar Bölümü

BİLGİSAYAR MİMARİSİ. << Bus Yapısı >> Özer Çelik Matematik-Bilgisayar Bölümü BİLGİSAYAR MİMARİSİ > Özer Çelik Matematik-Bilgisayar Bölümü Veri yolu (BUS), anakarttaki tüm aygıtlar arası veri iletişimini sağlayan devrelerdir. Yani bilgisayarın bir bileşeninden diğerine

Detaylı

Bellekler. Mikroişlemciler ve Mikrobilgisayarlar

Bellekler. Mikroişlemciler ve Mikrobilgisayarlar Bellekler 1 Bellekler Ortak giriş/çıkışlara, yazma ve okuma kontrol sinyallerine sahip eşit uzunluktaki saklayıcıların bir tümdevre içerisinde sıralanmasıyla hafıza (bellek) yapısı elde edilir. Çeşitli

Detaylı

Quiz:8086 Mikroişlemcisi Mimarisi ve Emirleri

Quiz:8086 Mikroişlemcisi Mimarisi ve Emirleri Öğrenci No Ad-Soyad Puan Quiz:8086 Mikroişlemcisi Mimarisi ve Emirleri S1) 8086 mikroişlemcisi bitlik adres yoluna ve.. bitlik veri yoluna sahip bir işlemcidir. S2) 8086 Mikroişlemci mimarisinde paralel

Detaylı

CITIUS-ALTIUS-FORTIUS

CITIUS-ALTIUS-FORTIUS CITIUS-ALTIUS-FORTIUS PROCESSOR Versiyon 1.00 15/04/2008 PROJE SORUMLUSU : BERKAY AYBAR MURAT GOKSEL E-MAIL : baybar@gmail.com, mgoksel@gmail.com ICINDEKILER Tablo Listesi... Figur Listesi... 1 GIRIS...

Detaylı

A.Ü. GAMA MYO. Elektrik ve Enerji Bölümü ALGORİTMA VE PROGRAMLAMA 1.HAFTA

A.Ü. GAMA MYO. Elektrik ve Enerji Bölümü ALGORİTMA VE PROGRAMLAMA 1.HAFTA A.Ü. GAMA MYO. Elektrik ve Enerji Bölümü ALGORİTMA VE PROGRAMLAMA 1.HAFTA 1 İçindekiler Bilgisayarların Çalışma Prensibi Sayı Sistemleri Programlama Dilleri 2 BİLGİSAYARLARIN ÇALIŞMA PRENSİBİ Bilgisayar

Detaylı

İşletim Sistemlerine Giriş

İşletim Sistemlerine Giriş İşletim Sistemlerine Giriş Süreçler ve İş Parçacıkları(Thread) İşletim Sistemlerine Giriş - Ders03 1 Süreç -Tüm modern bilgisayarlarda bir çok iş aynı anda yapılabilir. *kullanıcı programları çalışır *disk

Detaylı

B.Ç. / E.B. MİKROİŞLEMCİLER

B.Ç. / E.B. MİKROİŞLEMCİLER 1 MİKROİŞLEMCİLER RESET Girişi ve DEVRESİ Program herhangi bir nedenle kilitlenirse ya da program yeniden (baştan) çalıştırılmak istenirse dışarıdan PIC i reset yapmak gerekir. Aslında PIC in içinde besleme

Detaylı

FPGA ile Gömülü Sistem Tasarımı (EE 525) Ders Detayları

FPGA ile Gömülü Sistem Tasarımı (EE 525) Ders Detayları FPGA ile Gömülü Sistem Tasarımı (EE 525) Ders Detayları Ders Adı Ders Kodu Dönemi Ders Saati Uygulama Saati Laboratuar Saati Kredi AKTS FPGA ile Gömülü Sistem Tasarımı EE 525 Her İkisi 3 0 0 0 7.5 Ön Koşul

Detaylı

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ İçerik Mikroişlemci Sistem Mimarisi Mikroişlemcinin yürüttüğü işlemler Mikroişlemci Yol (Bus) Yapısı Mikroişlemci İç Veri İşlemleri Çevresel Cihazlarca Yürütülen İşlemler

Detaylı

TEMEL BİLGİSAYAR BİLİMLERİ. Programcılık, problem çözme ve algoritma oluşturma

TEMEL BİLGİSAYAR BİLİMLERİ. Programcılık, problem çözme ve algoritma oluşturma TEMEL BİLGİSAYAR BİLİMLERİ Programcılık, problem çözme ve algoritma oluşturma Programcılık, program çözme ve algoritma Program: Bilgisayara bir işlemi yaptırmak için yazılan komutlar dizisinin bütünü veya

Detaylı

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş C ile 8051 Mikrodenetleyici Uygulamaları BÖLÜM 2 8051 Mikrodenetleyicisine Giriş Amaçlar 8051 mikrodenetleyicisinin tarihi gelişimini açıklamak 8051 mikrodenetleyicisinin mimari yapısını kavramak 8051

Detaylı

SAUEEE.ORG. Önce C 00 Sonrası C 00

SAUEEE.ORG. Önce C 00 Sonrası C 00 ) Aşağıdaki program kodunun çalışması durumunda A, PSW, SP kaydedicilerinde ve de olan tüm değişiklikleri ve ilgili hücrelerin son değerlerini gösteriniz. Açıklama: Çözüm için aşağıdaki şablon şekilleri

Detaylı

Haftalık Ders Saati Okul Eğitimi Süresi

Haftalık Ders Saati Okul Eğitimi Süresi DERSİN ADI BÖLÜM PROGRAM DÖNEMİ DERSİN DİLİ DERS KATEGORİSİ ÖN ŞARTLAR SÜRE VE DAĞILIMI KREDİ DERSİN AMACI ÖĞRENME ÇIKTILARI VE YETERLİKLER DERSİN İÇERİĞİ VE DAĞILIMI (MODÜLLER VE HAFTALARA GÖRE DAĞILIMI)

Detaylı

Algoritma Geliştirme ve Veri Yapıları 9 Ağaç Veri Modeli ve Uygulaması. Mustafa Kemal Üniversitesi

Algoritma Geliştirme ve Veri Yapıları 9 Ağaç Veri Modeli ve Uygulaması. Mustafa Kemal Üniversitesi Algoritma Geliştirme ve Veri Yapıları 9 Ağaç Veri Modeli ve Uygulaması Ağaç, verilerin birbirine sanki bir ağaç yapısı oluşturuyormuş gibi sanal olarak bağlanmasıyla elde edilen hiyararşik yapıya sahip

Detaylı

Bilgisayar Temel kavramlar - Donanım -Yazılım Ufuk ÇAKIOĞLU

Bilgisayar Temel kavramlar - Donanım -Yazılım Ufuk ÇAKIOĞLU Bilgisayar Temel kavramlar - Donanım -Yazılım Ufuk ÇAKIOĞLU Bilgisayar Nedir? Bilgisayar; Kullanıcıdan aldığı bilgilerle mantıksal ve aritmetiksel işlemler yapabilen, Yaptığı işlemleri saklayabilen, Sakladığı

Detaylı

PORTLAR Bilgisayar: VERİ:

PORTLAR Bilgisayar: VERİ: PORTLAR 1.FARE 2. YAZICI ÇİZİCİ TARAYICI 3.AĞ-İNTERNET 4.SES GİRİŞİ 5.SES ÇIKIŞI(KULAKLIK) 6.MİKROFON 7.USB-FLASH 8.USB-FLASH 9.MONİTÖR 10.PROJEKSİYON 11.KLAVYE BİLGİSAYAR NEDİR? Bilgisayar: Kullanıcıdan

Detaylı

NESNE YÖNELİMLİ PROGRAMLAMA HAFTA # 2

NESNE YÖNELİMLİ PROGRAMLAMA HAFTA # 2 NESNE YÖNELİMLİ PROGRAMLAMA HAFTA # 2 JAVA DA PROGRAM DENETİMİ VE OPERATÖRLER Java programlama dilinde temel tipleri ve nesneleri yönlendirmek ve değiştirmek için operatörler kullanılır. Atamalar sağ taraftaki

Detaylı

8051 Ailesi MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur çekirdeğinin temel özellikkleri aşağıda verilmiştir:

8051 Ailesi MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur çekirdeğinin temel özellikkleri aşağıda verilmiştir: 8051 Ailesi 8051 MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur. 8051 çekirdeğinin temel özellikkleri aşağıda verilmiştir: 1. Kontrol uygulamaları için en uygun hale getirilmiş

Detaylı

PROGRAMLAMAYA GİRİŞ DERS 2

PROGRAMLAMAYA GİRİŞ DERS 2 PROGRAMLAMAYA GİRİŞ DERS 2 Program editörde oluşturulur ve diske kaydedilir Tipik Bir C Programı Geliştirme Ortamının Temelleri 1. Edit 2. Preprocess 3. Compile 4. Link 5. Load 6. Execute Önişlemci programı

Detaylı