Föy Kitapçıg ı O NDOKUZ M AYIS Ü N I VERS I TES I B I LG I SAYAR M ÜHEND I SL I G I B ÖLÜMÜ B I LG I SAYAR M I MAR I S I L ABORATUVARI

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "Föy Kitapçıg ı O NDOKUZ M AYIS Ü N I VERS I TES I B I LG I SAYAR M ÜHEND I SL I G I B ÖLÜMÜ B I LG I SAYAR M I MAR I S I L ABORATUVARI"

Transkript

1 O NDOKUZ M AYIS Ü N I VERS I TES I B I LG I SAYAR M ÜHEND I SL I G I B ÖLÜMÜ B I LG I SAYAR M I MAR I S I L ABORATUVARI Föy Kitapçıg ı Düzenleyenler: Doç. Dr. Erdal KILIÇ Erdem ALKIM 10 Ocak 2013

2

3 İçindekiler Lab1: Altera nin Nios II Islemci Kullanimi Lab2: Altprogramlar Ve Yığınlar Lab3: Mantık Talimatlarının Kullanımı Lab4: Giriş / Çıkış Organizasyonu Lab5: Bilgisayar Sistemi Uygulamaları Lab6: UART ve Zamanlayıcı Devreler kullanma Lab7: UART ve Timer Devreleri Uygulamaları Lab8: Ses Kodeği Lab9: Grafik ve Animasyon Lab10: Bus Communication Lab11: Çoklu İşlemciler Ve Çekişme yönü i

4 ii

5 Laboratuvar Çalışması 1 Altera nin Nios II Islemci Kullanimi Bu egzersiz altera Nios II işlemcisini ve assembly dilinin tanıtımını içermektedir. Nios II islemcisini içeren DEseries Basic Computer denilen, basit bir bilgisayar sistemini kullanir. Bu sistem Altera DE-serileri kartina FPGA yongasinin içine yuklenmiş bir devredir. Bu egzersiz Nios II assembly dilinde yazilmiş programların DE-serisi uzerinde nasıl çalıştığını göstermektedir Bu uygulamalardaki programları derlemek, yüklemek ve çalıştırmak için Altera Monitor programı kullanılacaktır. Bu çalışmaları yapabilmek için Nios II işlemci mimarisi ve assembly dilini bilmeniz gerekir ;Gerekli bilgileri öğrenmek için Altera Nios II işlemcisinin tutorial tanıtıcı kısmını okuyun.ayrıca monitör programına aşina olmalısınız ; Altera Monitor Programı öğretici kısmını okuyun. Her ikiside Altera Üniversitesi Programı web sitesinde mevcuttur. Monitor tutorial Altera Monitör ıda içermektedir. Program paketi Help > Tutorial monitor penceresinden ulaşabilirsiniz. Part I Bu bölümde FPGA yongasının içine DE-serisi Temel Bilgisayar devresi yüklemek ve örnek bir programı çalıştırmak için Altera Monitor programı kullanılacaktır. Aşağıdakileri gerçekleştirin: 1. Altera DE-serisi kurulum gücünü açın 2. Şekilde gösterildiği gibi Altera Monitor Programını açın Şekil 1: Altera izleme Programı penceresi. 1

6 Run bir uygulama programi ve yeni bir proje olusturmak icin gereklidir. File > New Project yolunu seçerek Sekil 2 içindeki pencereyi açarız Projeye bir ad verin ve proje için dizin gösterin; Şekilde gosterildigi gibi proje adini part1 sectik, dizinide lab1_part1 olarak belirledik.sekil 3 teki pencereye geçmek için Next e tiklayın. 3. Şimdi,(eger varsa) kendi ozel sisteminizi seçebilirsiniz veya (Altera tarafından) onceden tasarlanmış bir sistem seçebilirsiniz. Basic computur ı seçin. işlemleri uygulayarak dosyaların bulundugu pencereyi ekranda gösterebiliriz. Bu sadece bilgi amaçlıdır;eger Altera nin Quartus II yazilimini kullanılarak tasarlanmış bir sistemi kullanmak istiyorsanız, bu tur dosyalari saglaman gerekir. Next e tiklayın. 4. Çalistirmak istediğiniz uygulama programlarını türünü şekil 4 te ki pencerede belirtebilirsiniz. Uygulamalarr Nios II assembly dili yada c dili ile yazilmiş olabilir. Eğer assembly dili kullanılacaksa assembly dilini seçin. Altera Monitor Programi birçok örnek program paketini icererir. proje ile örnek bir programi ekleyin kutusunu seçin. Ardindan, sekilde gosterildi gibi Test Basic computer programini secin ve Next e tiklayin. 5. Şekil 5 deki pencere uygulama programının kaynak kodlarını içerir. Test Basic Computer programını seçtiğimizde, bu pencere program tarafından kullanılan dosyaları gösterir. 6. Şekil 6 daki pencere bazı sistem parametrelerini gösterir. USB-Blaster DE-serisi kartı ve ana bilgisayar arasında bağlantı sağlaması için seçilir. Next e tıkla. Şekil 2: Dizinin ve projenin adını belirtin. 2

7 Şekil 3: Sistemin spesifikasyonu. Şekil 4: Bir uygulama programının seçimi. 3

8 Şekil 5: Uygulama programı tarafından kullanılan kaynak dosyaları. Şekil 6: Parametreleri belirleme sistemi. 4

9 Şekil 7: Program bellek ayarlarını belirtme. 7. Şekil 7 deki pencere DE-series Basic Computerın seçilmiş bileşenleri gösterilmektedir.bellek cihazı kullanırken SDRAM seçili olduğunu emin olun. Ofset başlangıç adresi (hex 400) ise bu 400 bellek konumundaki uygulama programı yüklenecektir anlamına gelir. Bu seçim örnek programın tasarımcısı tarafından yapılmış olduğundan, Şekil 7 de ki seçimi değiştiremezsiniz. Yeni projenin özelliklerini tamaladıkdan sonra Finish e tıkla. 8. Eğer DE-serisi kartı üzerine bu proje ile ilgili sistemi yüklemek istiyorsanız yeni bir projeyi belirtikten sonrabir pop-up kutu sorgusu görünecektir. DE-serisinin açık olduğundan emin olun ve Yes e tıklayın. Bir pop-up kutusu devresi başarıyla indirildi bildirisi görünce tamama tıklayın. Eğer devre başarılı bir şekilde yüklenmezse, ana bilgisayar tarafından kurulan ve tanınan USB bağlantısının USB-Blasterile iletişim kurduğudan emin olun.( Eğer olasıbir bir sorun çıkarsa USB kablosunu çıkarın ve sonra geri takın bu bir çözüm olabilir.). 9. DE-serisi kartında ki FPGA yongası içine DE-serisi Basic Computer yüklüyse, istediğimiz programı bu bilgisayara yükleyip ve çalıştırabiliriz. Ana monitör penceresinden FPGA yongasının içine seçilen bir örnek program yüklemek için Şekil 8 de gösterildiği gibi Actions > Compile & Load seçmeliyiz. Örnek program yüklendikten sonra Şekil 9 daki gibi monitör penceresini gösterir. 10. Actions > Continue Seçerek yada araç çubuğundaki simgesine tıklayarak programı çalıştırın. LED ler ve 7-segment ekran çıktılarını gözlemleyin. Bu program DE-serisi kuruluysa düzgün bir çıktı sağlar. 11. Ikonuna tıklayarak örnek programın yürütülmesini durdururuz ve ikonuna tıklayarak bu oturumu sonlandırabiliriz. 5

10 Şekil 8: Monitör penceresinde bir eylem belirtin. Şekil 9: Yüklenen programı gösteren örnek monitör penceresi. 6

11 Part II Şimdi, Nios II assembly dilinde yazılmış basit bir uygulama programını kullanarak Altera İzleme Programının bazı özelliklerini inceleyeceğiz. Şekil 10 daki programı ele alalım,bu program belleğinde saklanan 32-bit tamsayı listesinden en büyük sayıyı bulur. Bu program lab1 _part2.s dosyasında mevcuttur. /*Tamsayılar listesinde büyük sayıyı bulan Programı */.equ LIST, 0x500 /* */.global _start _start: movia r4, LIST *R4 listenin başlangıç noktası */ ldw r5, 4(r4) * r5 n ile başlatılan bir sayac */ addi r6, r4, 8 /* r6 İlk sayı için değer */ ldw r7, (r6) /* r7 Şimdiye kadar bulunan en büyük sayıyıı tutar */ LOOP: subi r5, r5, 1 /*Sayaç azalt*/ beq r5, r0, DONE /*R5 0 eşitse bitir */ addi r6, r6, 4 /*Liste göstericisini artır */ ldw r8, (r6) /* Sonraki sayıyı alr */ bge r7, r8, LOOP /*sayıları kontrol et */ add r7, r8, r0 /*Bulunan en büyük sayı güncelle */ br LOOP DONE: stw r7, (r4) /*Sonuç olarak en büyük sayı tutar */ STOP: br STOP /*Bulunursa burada kalır */.org 0x500 RESULT:.skip 4 /*En büyük sayı için yer bulundu*/ N:.word 7 /*Listesini giriş sayısı */ NUMBERS:.word 4, 5, 3, 6, 1, 8, 2 /* Listedeki Numaralar */.end Figure 10:Büyük sayıyı bulan Assembly-dili programı. Bazı örnek verilerin bu programa dahil olduğunu unutmayın. Liste assembler direktif.org tarafından belirlenen hex 500 adresinden başlar. İlk sözcük (4 bayt) bulunan en büyük numaranın sonucunu depolamak için ayrılmıştır. Sonraki kelime listedeki girişlerin sayısını belirtir. Listedeki gerçek sayıyıları verir. Figure 10 daki programı ve her bir komutun anlamını anladığınızdan emin olun. Programdaki yorumların kullanımına dikkat edin. Yazacağınız programların anlaşılabilmesi için yorum satırları ekleyin. 7

12 Aşağıdakiler uygulanacak: 1. Yeni dizin oluşturun; biz lab1_part2 isimli dizini seçmişiz. Bu dizine lab1_part2.s dosyalarını kopyalayın. 2. Bu dizinde yeni proje oluşturmak için Altera Monitor Program ı kullanın; biz proje adını part2 seçmişiz. Figure 4 deki pencereye ulaştığınızda Assembly Program seçin ama Figure 11 de görüldüğü gibi örnek program seçmeyin. Next e tıklayın 3. Figure 5 teki pencereye ulaştığınızda program belirtmek zorundasınız. Add ye tıklayın ve çıkan kutuda istediğiniz dosya adını, lab1_part2.s ve konumunu belirleyebilirsiniz.bu Figure 12 deki resme yönlendirmelidir. Figure 6 daki pencereyi elde etmek için Next e tıklayın. Figure 7 deki pencereye geçmek için tekrar Next e tıklayın. Bellek aygıtı seçeneklerinin SDRAM olduğundan emin olun. Start offset in device nin 0 olacağını unutmayın, çünkü Figure 10 daki program varsayılan yeri 0 dan farklı olan yerlere yükleneceğini göstermez. Finish e tıklayın. Click Finish. 4. Programınızı karta yükleyin ve derleyin. Şekil 11: Assembly programlama dilinin seçilmesi 8

13 Şekil 12: Kaynak programın seçilmesi. 5. Monitor Program, şekil 13 te belirtildiği gibi, bellekte yüklü olan kodun yüksek dile çevrilme görüntüsünü gösterecek. movia sözde talimatının orjinal programda iki 16-bit bölümde r4 yazmacının içine 32-bit LIST adresi yüklü olan (çünkü acil işlenen değeri 16-bite kadar sınırlıdır) orhi ve addi gibi iki makine talimati ile değiştirileceğini unutmayın. Orjinal kaynak program ile karşılaştırıldığında farkını görmek için yüksek dile çevrilmiş kodu inceleyin. Her komutun anlamını öğrendiğinizden emin olun. Ayrıca programında 0 başlangıç adresi ile bellek konumlarının da yüklenmiş olduğunu gözlemleyin. Bu adresler sistem parametreleri belirlendiğinde seçilen SDRAM belleğe karşılık gelir. DE2 Media Computer in DE2 kartındaki SRAM çipi ve on-chip memory (yani FPGA çipindeki bellek) olmak üzere iki belleği vardır. Tüm bilgiler için Media Computer System for Altera DE2 Board dökümanına bakın. Bu dokümana Figure 3 teki Documentation butonuna tıklanarak erişilebilir Monitor Program, Figure 13 te belirtildiği gibi, bellekte yüklü olan kodun yüksek dile çevrilme görüntüsünü gösterecek. movia sözde talimatının orjinal programda iki 16-bit bölümde r4 yazmacının içine 32-bit LIST adresi yüklü olan (çünkü acil işlenen değeri 16-bite kadar sınırlıdır) orhi ve addi gibi iki makine talimati ile değiştirileceğini unutmayın. Orjinal kaynak program ile karşılaştırıldığında farkını görmek için yüksek dile çevrilmiş kodu inceleyin. Her komutun anlamını öğrendiğinizden emin olun. Ayrıca programında 0 başlangıç adresi ile bellek konumlarının da yüklenmiş olduğunu gözlemleyin. Bu adresler sistem parametreleri belirlendiğinde seçilen SDRAM belleğe karşılık gelir. DE2 Media Computer in DE2 kartındaki SRAM çipi ve on-chip memory (yani FPGA çipindeki bellek) olmak üzere iki belleği vardır. Tüm bilgiler için Media Computer System for Altera DE2 Board dökümanına bakın. Bu dokümana Figure 3 teki Documentation butonuna tıklanarak erişilebilir. 9

14 Şekil 13: The disassembled view of the program in Figure ikonuna tıklayarak programın başına dönebilirsiniz. ikonuna tıklayarak programı tek tek adımlayabilir böylece komutların işlemcinin yazmaçlarındaki veri değişimini izleyebilirsiniz. 8. Program sayıcıyı 0 a ayarlayın.bu eylemin restart ikonuna tıklanmasıyla aynı etkiyi yaptığını unutmayın.. 9. Bu kez 0x28 adresinde bir kesme noktası eklenir (bu adresin solundaki gri çubuğa tıklayarak), ki bu konumdaki dallanma komutları yürütüldüğünde program otomatik olarak duracak. Programı çalıştırılır ve r7 yazmaç içeriğinin her seferinde bu kesme noktasına ulaştığı gözlemlenir 10

15 10. Kesme noktasını silin (tıklayarak). Sonra Program Counter i 0x8 e ayarlayın, r4 yazmacı içine yüklenen LIST adresi ilk iki komutu Ayrıca r4 yazmacındaki değeri 0x504 e ayarlayın. ikonuna tıklayarak programı çalıştırın. Bu uygulamanın sonucu ne olacak? Bölüm III Altyordam biçiminde Figure 10 daki programı yeniden yazarak Part II deki görevi uygulayın. Altyordam,LARGE, listedeki en büyük sayıyı bulmak zorundadır. Çağrılan program girişlerinin sayısı ve r7 ve r8 yazmaçları üzerinden altyordam parametreleri olarak listedeki birinci sayının adresi geçmesi gerekir. Altyordam r7 yazmacı üzerinden çağrılan programa en büyük sayının değerinin geçmesi gerekir. Yeni dizin ve programınızı derlemek ve yüklemek için yeni Monitor Program oluşturun. Monitor Program dizin başına sadece bir projeye sahip olabilir. Doğruluğunu sınamak için programı çalıştırın. Aşağıdaki gerçekleştirin: 1. Talimat sf blt R7, r8, LOOP ve makine kodu gösterimi türet. Yılında it Nios II İşlemci Referans El Kitabı Altera web sitesinde, biz bf blt talimat Şekil 14 de gösterildiği biçimde bulabilirsiniz. r7 ve r8 sayıcılarını yadaas A ve B, sırasıyla kullanabilirsiniz ve determine the branch offset needed to branch to the instruction at location LOOP A B IMMED16 0x16 Şekil 14: Format for the blt instruction. 2. Orjinal programınızı tekrardan yükleyin (by selecting Actions > Load). Sonra programı çalıştırın ve sonunda durdurun. 3. Bellek konumu 0x24 içine türetilmiş bf blt talimat yerleştirmek için Altera İzleme Programı bellek doldurma işlevini kullanın. Biz Monitor Programı demontaj görünümünde güncellenen talimat görmezsiniz dikkat etmelisiniz. 0x0 program sayacı ayarlayın ve programı çalıştırın. Sonuç nedir? Kayıt it R7 ve hafıza konumu 0x500 değerleri nelerdir? Bölüm IV Bu bölümde, Fibonacci serisinin ilk n sayılar üreten Nios II assembly program yazmak için gerekli bilgiler verilecektir.bu dizi, ilk iki sayı 0, 1, ve her bir sonraki numarası önceki iki numaraları eklenerek oluşturulan. Örneğin, n = 8,dizisi için 0, 1, 1, 2, 3, 5, 8, 13 Programınız 0x1000 başlayarak ardışık hafıza sözcüğü yerlerde numaraları kaydetmek gerekir. N konuma 0xffc bir test değeri yerleştirin. 11

16 Perform the following: 1. Yeni bir dizin oluşturun, lab1_part4. 2. İstediğiniz Fibonacci serisi hesaplar bir assembly dili programı yazın ve dizindeki dosyaya yerleştirin lab1_part4. 3. Sonra, it part4, yeni bir proje oluşturmak için İzleme Programı kullanarak ve programınız DE-serisi Temel Bilgisayar üzerinde çalıştırılması gerektiğini belirtin. 4. Programı çalıştırın. 5. Programınızın doğru olduğunu doğrulamak için 0x1000 başlayan bellek adreslerini inceleyin. Hazırlık Kişisel hazırlanmanız aşağıdakileri içermelidir: 1. Introduction to the Altera Nios II Soft Processor ve Altera Monitor Program Öğreticiler Oku. 2. Öğretim için makine kodu gösterimi sağla blt r7, r8, LOOP. 3. Bölüm IV için assembly dilinde program yaz. 12

17 Laboratuvar Çalışması 2 Altprogramlar Ve Yığınlar Bu egzersizin amacı Nios II ortamında altprogramlar ve altprogram bağlantısı hakkında bilgi vermek.bu parametre geçişini ve parametre yığınlarının kavramlarını içerir (Bu egzersizi yapmak için gerekli bilgileri Altera web sitesinin Üniversitesi Programı bölümünde Altera Nios II Soft Processor a giriş yaparak öğrenebilirsiniz. DE-series Basic Computer ile SDRAM belleğe yüklenen uygulama programları kullanılacaktır Bölüm I Azalan 32-bit işaretsiz sayıların listesini sıralama. Bu liste ilk 32 bit kayıt listesinin şeklini(parçaların sayısını) veren ve kayıtların dayanaklarının sınıflandırılmış numaralarından oluşan bir dosya formu şeklinde tedarik edilmiştir. Nios II assembly dili kullanılarak, istenen işlevi aşağıdaki gibi elde edebilirsiniz: 1. Yüklü bir dosya içinde bulunan bir listeyi sıralayabilen bir program yazın LIST_FILE. Kullanılacak bellek ihtiyacı karşılayacak kadar olmalı çünkü sonradan alan değiştiremeyiz. Bu nedenle, sıralama işlemi yapılan yerde sıralanacak liste ve özgün liste aynı hafıza konumlarında bulunmalıdır. 2. Altera nın DE-serisi kartına DE-serisi Basic Computer yüklü olduğundan Lab 1yapılabilir. 3. Altera Monitor Programını kullanarak programınızı karta yükleyin ve programınızı derleyin. 4. Örnek bir liste oluşturun ve belleğe yükleyin. (Program kodunuzun ve listenin bellekte çakışmadığından emin olun.) Not: Monitor Programı kullanılarak listeyi içeren dosya belleğe yüklenir,gerekli bilgileri Altera Monitor Programı bölüm 11 de bulabilirsiniz. 5. Programı çalıştırın ve düzgün çalışıp çalışmadığını kontrol edin. Bölüm II Bu bölümde, sıralama işlevini gerçekleştirmek için bir program kullanılacaktır.programı genelleştirmek için, alt program tarafından kullanılan kayıt içeriğini girdikten sonra yığına kaydedilir ve programdan ayrılmadan önce restore edilmesi gerekir. Kayıt başlatılıyor tarafından oluşturulan yığın,yığın işaretçisi olarak kullanılır yada r27ve sp assembly dilinde kod olarak da ifade edilebilir. Bu belleğin yüksek adresten düşük adrese doğru büyütmek için kullanılan yaygın bir işlevdir.bir veri girildiğinde ya da yığından çıkarıldığında yığın gösterici kesinlikle ayarlanmalıdır. Yığın oluşturma yüksek adreslerdendüşük adreslere doğru gelişir,yığın noktasına yeni bir kayıt yığına yerleştirlmeden önce 4 azaltılmalı ve bu kayıt yığından çıkarıldıktan sonra bu 4 artırılmalı. Bellekde 4 değeri kullanılabilir ve 4 byte-adreslenebilir ;çünkü 32-bit kelime içine organize edilmiştir, dolayısıyla bir kelimede 4 byte vardır 13

18 Birinci bölümdeki program aşağıdaki adımlarla güncellenebilir : 1. Bellekte rastgele bir adrese yerleştirilmiş herhangi bir boyuttaki listeyi sıralayabilen SORT adında bir alt program yazınız. Listenin yeri ve şeklinin kayıt defteri yoluyla aynı programdan geçen parametreler olduğu varsayılmaktadır Parametre boyutu Nios II kayıt içeriği tarafından belirlenir r2. Listedeki ilk giriş adresi kayıt içeriğini tarafından belirlenir r3. ( Adres ve dosyasının başlangıçı aynı olmamalıdır LIST_FILE. ) 2. Yığın işaretçisni başlatan ana programı yazın, kayıt içine gerekli parametreleri yerleştirin ve daha sonra SORT alt programını çağırın. Liste LIST_FILE da belleğe yüklenir. Altprogram, yığını kullanmak,kayıtların içeriğini kaydetmek ve ana programa geri dönmeden önce bu kayıtları geri yüklemek için vardır. 3. Programınızı karta yükleyin ve çalıştırın. 4. Örnek bir liste oluşturun, belleğe yükleyin ve programınızı çalıştırın. Bölüm III Ana programdan parametrelere yığın ile değil, kayıtlar üzerinden geçirilir böylece Part II programı değiştirilir. Programınızı karta yükleyin,derleyin ve çalıştırın. Bölüm IV Bir Nios II işlemci ra register (R31) dönüş adresini tutmak için bir altprogram çağrıldığında kullanır.bir altprogram başka bir iç içe altprogramların çağrıları durumunda, yeni bir dönüş adresi ra kayıt içine yerleştirildiğinde orijinal dönüş adresinin kaybolmamasını sağlamak için gereklidir.bu yığın orijinal dönüş adresini saklar ve daha sonra it ra ikinci programa dönüşünde kayıt içine yeniden yükleyebilir. İç içe program kavramını göstermek için Fibonaci sayılarını hesaplamayı kullanacağız n th Fibonacci sayı olarak hesaplanmıştır F ib(n) = F ib(n 1) + F ib(n 2) Fib(0) = 1 ve Fib(1) = 1 olduğunu unutmayın. Fibonacci sayılarını özyineli olarak hesaplayan bir program yazın n th. Bu program istediğimiz FİBONACİ sayısını bulana kadar kendi kendine özyineli olarak programı çağırması gerekir. Ana program yığının üzerine yerleştirerek nprogramabirparametreolarak geçmelidir.her işlem sonucunubelli bir yere kaydederek işlem daha kolay olabilir n. Programı derleyin karta yükleyin ve çalıştırın.farklı değerler kullanarak programın doğruluğunu kontrol edin Preparation Laboratuvara gelmeden önce bütün uygulamarın assembly kodunu hazırlamanız gerekmektedir. 14

19 Laboratuvar çalışması 3 Mantık Talimatlarının Kullanımı Bu çaılşmada mantık talimatlarının Nios II komut setinde ki kullanışını inceleyeceğiz. Mantık talimatları bit dizeleri manipülasyonu ve birkaç özel ilgi çekebilecek bit düzeyinde verilerle uğraşmak için yararlıdır. Giriş / çıkış işlevleri ile ilgili gerekli bilgiler laboratuvar çalışması 4 de örneklendirilmiştir.altera Nios II Soft işlemcisine girişle ilgili bu çalışmayı yapmak için gereklibilgileri, Altera web sitesinin Üniversitesi Programı bölümünde bulabilirsiniz. SDRAM de kullanılacak programları ve DE- serisi Basic Computerları kullanacağız Bölüm I Şekil 1 deki Nios II assembly dili ile yazılmış programı ele alalım. Verileri kelime kelime inceler ve arka arkaya maxsimum uzunluktaki 1 lerin sayısını i belirler. Örneğin,bu kelime de 0x937a ( ) arka arkaya 4 adet 1 vardır.şekilde ki 0x90abcedf kod için birbirini takip eden 1 lerin sayısını hesaplar.bu kod lab3_part1.s dosyasında mevcuttur. Sayıyı bit bit kontrol eder 1 gördükçe sayıcıyı artırır ama eğer sıfır gelirse sayıcının değerini belleğe kaydeder ve sayıcıyı sıfırlar.daha sonra tekrar 1 görürse sayıcıyı artırır ve en son da bellekteki değerleri karşılaştırır en büyük değeri ekrana basar.include nios_macros.s".equ TEST_NUM, 0x90abcdef /*test edilecek sayı */.global _start _start: movia r8, TEST_NUM /* Test edilecek sayıyı r8 e yükle */ mov r9, r8 /* Sayıyı r9 a kopyala */ STRING_COUNTER: mov r10, r0 /* Sıfırsa sayıcıyı temizle */ STRING_COUNTER_LOOP: beq r9, r0, END_STRING_COUNTER /*l r9 en fazla 1 içerene kadar döndür*/ srli r11, r9, 0x01 /* Sayıyı kaydırarak 1 sayısını hesaplayın */ and r9, r9, r11 /* Sonuç ile yer değiştirdi. */ addi r10, r10, 0x01 /* Sayacı arttırır */ br STRING_COUNTER_LOOP END_STRING_COUNTER: mov r12, r10 /*sonucu r12 ye kayded */ END: br END /*Program tamamlandığında burada bekle */.end Şekil 1.. Ardışık 1 leri sayan Assembly-dili programı. Aşağıdakileri gerçekleştirin: 15

20 1. lab3 ve lab3_part1 adında iki dizin oluşturun.dizin dosyalarını buraya koplayın. 2. Altera Monitor Programını kullanarak, lab3_part1 dizininde, part1 adında yeni bir proje oluşturun. DEseries Basic Computer olarak belirlenen ve Lab 1 de anlatılan assembly dili prosedürü kullanarak lab3_part1.s içindeki programı kullanabiliriz. 3. Programı yükleyin ve derleyin. 4. Monitor Programı bellekte yüklü olan kodun görüntüsünü gösterir. Orijinal programda pseudoinstruction movia makine talimatları, orhi ve ori çiftlerinden biri ile değiştirildiğini unutmayın. Bu movia psudoinstruction çifti orhi ve addin tarafından değiştirildi. Lab 1 Bölüm 2 de ki alıştırma farklıdır. ori komutu yerine addin kullanmak daha mantıksal bir seçimdir. 5. Programı çalıştırın.program çalışırken monitör pencerelerinde herhangi bir değişiklik (örneğin, kayıtları veya hafıza konumları içeriği gibi) görmek mümkün olmayacaktır, çünkü monitör programı DE-serisi kartındaki işlemci sistemi ile iletişim kuramıyor.eğer,programı durdurursanız bileşenlerin mevcut durumunu görüntüleyebilirsiniz. Programın bellekte 0x28 adresine yüklenen son daldaki açıklamada yürürlüğe konulması engellendiği gözlemlenmiştir ve bunlar yapılmıştır: Ayrıca kayıt it r12 içeriğini belirtildiği gibi test sayımızda 4 tane ardışık 1 olduğunu unutmayın. 6. Simgesine tıklayarak programın başına dön. Şimdi simgeye tıklayın. Talimatların işlemcinin kayıt verilerini nasıl değiştiğini izleyin. 7. Program sayacı 0x08 sayısını r8 kaydedicisine yükleyebilmesi için bu iki adımı atlayacak şekilde ayarlayın.ayrıca kayıt değerini 0xabcdef90 olarak ayarlayın.arka arkaya kaç tane 1s vardır? Doğru olup olmadığını görmek için programı çalıştırın. Bölüm II Bu bölümde, talimatları nasıl şekillendiğini bir kez daha inceleyeceğiz. Aşağıdakileri gerçekleştirin: 1. Aşağıdaki derleme dili komutlarının makine-kodunu nasıl temsil ettiğini anlamak için Altera web sitesinde bulunan Nios II İşlemci Referans El Kitabı nı oku. 2. Eylemler > Yük seçerek programınızı yükleyin. Daha sonra son bir kez daha programınızı çalıştırın ve işlemi sonlandırın. 3. 0x0 ve 0x4 adreslerini belleğe yerleştirmek için Altera Monitor Programı bellek-dolgu işlevini kullanın. Monitör programının bellek görünüşünde bu değerlerin yüklenmiş olduğunu ; ama parçalara ayrılmış olarak göreceğini unutmayınız. 4. Program sayacıyı 0x0 olarak ayarlayın.bu ne zaman olacak? 0x0 ve 0x4 yerleştirildiği de etkilerini görmek için programın dayanağını yürürlüğe koymaktır. 5. Bellek konumunu kullanarak kendisi yerine program başlangıç noktasını başka bir değerle değiştir. Bu talimatlara bir kesme noktası yerleştirin.programını çalıştırın ve kesme ulaşıldığında kayıtların durumu gözlemleyin. Kullanılan veri de 1 lerin sayıysı sabit kalana kadar programı tekrar çalıştrın.son durumu nedir? 6. Şimdi adım 1,5 tekrarlayın, ancak sf srl r8, r8, r13 talimatı yerine sf SRA r8, r8, r13 kullanın.bu programı yeniden yükleme, assembly dili açıklamalarının makine kodu simgesine and r13, r8, r12 ve srl r8, r8, r13 0x0 ve 0x4 belleklerine yüklenmesidir.davranışlarında ki farklılık nedir? Gözlemlerinizi yazınız. Bölüm III 16

21 Şekil 1 deki programın test verilerini ardışık 1 lerin sayısını belirlemek için Anding kaydırmalı versiyonu ile akıllıca bir fikir kullanır.aynı görevi gerçekleştiriren fakat bu algoritmayı kullanmayan başka bir program yazınız. Programı çalıştırın ve test edin. Bölüm IV 1 ve 0 lardan oluşan her hangi bir alternatif uzun dize ilginizi çekebilir. Örneğin bu ikili sayımızda 6 adet 1 ve 0 mevcuttur, burada vurgulananmak istenen : budur. Varsayalım iki uç bitten uzun dize bir parçası olabilir.örneğin, s ve 0s alternatif arka arkaya 4 bit vardır 32 bitlik bir kelimede aşaığıdaki işlemleri yapan programı yazın: En uzun 1 sayısını r12 kaydedicisine yazan En uzun 0 sayısını r14 kaydedicisine yazan En uzun alternatif 1 ve 0 sayısını r16 kaydedicisine yazan İpucu: Bir n-bit sayı 0 ve 1 alternatif n-bit dize ile XOR lanırsa ne olur? Programınızı çalıştırın ve test edin Bölüm V Bu bölümde sizden her basamağı dört bit olan ASCII-kodlanmış karakterler olarak depolanan 8 haneli bir ikili kodlu onlu (BCD) formatına dönüştürmeniz isteniyor. Ondalık ASCII koduiçin 0x3ın üst dört baytını sayıyı temsil etmek için kullanırsak alt dört byt say değerini temsil eder.8 haneli ASCII-kodlanmış numarası en az anlamlı rakama en anlamlı gelen veri ( 8 bayt olduğunu varsayalım klavye giriş cihazından as adreslerde onlar) saklanır. Elde edilen 32-bit paketlenmiş BCD sayının adres muhafaza edilmelidir Istenilen dönüşümü gerçekleştiren bir program yazın.programı çalıştırın ve bazı örnek veriler ile test edin Hazırlanma Aşağıda ki gibi hazırlanın: 1. Bölüm II deki üç talimat için makine kodu gösterimi belirleyin 2. Bölüm için gerekli assembly dili programı yazın 17

22 18

23 Laboratuvar Çalışması 4 Giriş / Çıkış Organizasyonu Bu çalışmanın amacı, bir işlemci için giriş ve çıkış yetenekleri sağlayan cihazların kullanımını araştırmaktır. Giriş-çıkış programlarını,kesme odaklı yakşalımı inceleyeceğiz. DE-serisi Temel Bilgisayar sisteminden bu uygulamayla bir Altera DE-serisi kartı üzerine paralel portta arabirimler kullanılacak hale gelecek. Bu çalışmayı yapmak için gerekli bilgileri: Introduction to the Altera Nios II Soft Processor ve Basic Computer System for Altera DE-series Board dan elde edebilirsiniz. DE-serisi Temel Bilgisayar paralel port arabirimi ( Lab 5 kullanacağız) Altera nın SOPC Builderı kullanılarak üretildi.bir paralel bağlantı noktası giriş veya çıkış yönünde veri transferi sağlar.sopc Builder paralel portın bir PIO (Paralel Giriş / Çıkış) bileşeni şeklinde uygulanır. Transfer paralel olarak yapılır ve 1 ila 32 bit içerebilir.bit sayısı, n, ve transfer türü SOPC Builder bir Nios II tabanlı sistem aracılığıyla kullanıcı tarafından belirlenir. PIO arayüzü Şekil 1 de gösterildiği gibi, dört kayıt içerebilir. Address offset (in bytes) (n-1) 0 0 Input/Output data (a) Data register 4 Direction control for each input/output line (b) Direction register 8 Interrupt enable/disable control for each input line (c) Interrupt-mask register 12 Edge detection for each input line (d) Edge-capture register Figure 1. PIO arayüzü Yazmaçları. Her kayıt n bit uzunluğundadır. Kayıtların aşağıdaki bir amacı vardır Veri kaydedici PIO arayüzü ve Nios II işlemci arasındaki n bit veri transferini tutar. Bu bir SOPC Builder tarafından giriş, çıkış ya da çift yönlü bir kayıt olarak uygulanabilir. Yön yazmacı çift yönlü bir arayüz oluşturulur, n bitlik her veri için transfer doğrultusunda tanımlanır. Kesme-maskesi yazmacıpio a bağlı giriş hatlarından kesmeleri sağlamak için kullanılır 19

24 kenar-yakalama sayıcısı PIO hatları üzerinde mantık değeri değişikliğine bağlı giriş sinyalleri tespit edildiğinde onu gösterir.. Bu kayıtların hepsi belirli bir PIO arabirimi içinde oluşturulamabilir.örneğin; yön sayıcı sadece çift yönlü bir ara birim belirtilirse dahil edilir. Kesme-maskesi ve Kenar-yakalama yazmaçları sadece kesme güdümlü giriş / çıkış kullanıldığında dahil edilir. Eğer onlar bellek konumları olsalardı PIO yazmacı ile erişilebilirlerdi. Anlamlı en az dört bit olan herhangi bir taban adresi (zamanında SOPC Builder tarafından uygulanan) bir PIO olarak atanabilir. Bu Veri register adresi olur. Diğer üç yazmacın adresi 4, 8 veya 12 bayt (1, 2, 3 ya da sözcükleri) uzaklığa sahiptir. DE-serisi. Basic Computer çeşitli kullanımlar için yapılandırılmış olan birkaç PIO lar içerir. Bu PIO lar hakkında ayrıntılı bilgi Basic Computer System for Altera DE-series Board da anlatılmıştır. Bu uygulamada, uygulama amacı, Altera nın DE2 kartı geçiş anahtarları ile imzalanan 8 bitlik sayı dizisinin araya eklenmesini içerir..çıkan toplamı LED ler ve 7-segment görüntülerle gösterilir Bölüm I SW 7 0, gibi numaraları girmek için 8 geçiş anahtarları kullanılır. Birikmiş toplamını görüntülemek için, LEDG yeşil ışıklar kullanın. Tüm bu bileşenler DE serisi Basic Computer paralel portları üzerinden bağlanır. Nios II assembly dili kullanılarak istenen görevi aşağıdaki gibi gerçekleyin: 1. Anahtarları içeriğini okuyan, birikmiş olan bir miktar sayıyı toplayan, yeşil LEDlerin toplamını gösteren Nios II assembly dilinde bir programyazın. 2. Yeni bir dizin oluşturun. Bu dizine programınızı koyun. 3. part1 Bu dizine yeni bir proje oluşturmak için Altera Monitor Program kullanın.programınızı seçin ve DEserisi kartı FPGA cihazın içine DE-series Basic Computer indirin.programınızın kullanacağı bellek olarak SDRAM seçin. Programınızı toparlayın ve karta yükleyin 4. Birkaç numara girerek programın doğru çalışıp çalışmadığını denetleyin.program sayesinde tek-adımla aynı numarayı birden çok kez okumadan giriş numaralarını değiştirebildiğimizi unutmayın. Bölüm II Bu bölümde, sürekli uygulama programı çalıştırın ve yeni bir numara okunmaya hazır olduğunda kullanıcı tarafından aktif bir basma düğmesi ile sayıların okunması kontrol etme yeteneğini eklemelisiniz. Kullanıcı istediği işleme göre, geçiş anahtarları ayarlayarak ve daha sonra bir buton düğmesine basarak bir sonraki sayı sağlamasıdır.okumaya hazır olduğunu belirtmek için KEY 1 değerini almalıdır. Bu görevi yerine getirmek için bu sayı girişinde kullanılan devre durumunu izleyen bir mekanizma uygulamak gerekir. Yaygın olarak kullanılan I / O düzeni başlangıçta 0 durum bayrağı kullanmaktır. I / O aygıt arabirimi sonraki veri transferi için hazır olduğunda bayrak daha sonra kısa sürede 1 olarak ayarlanır.veri aktarımı bitmesi üzerine, bayrak yeniden temizlenir.böylece, işlemci bir I / O veri transferi yapılabilirken belileyicietken durum bayrağı olur. 20

25 I/O ara yüzü DE-series Basic Computerın içinde ki bir paralel porttur.bir durum bayrağı sağlamak için,hangi bit konumunu b 1 KEY 1. kullanıcaksak. O tuşla Paralel Porta bağlanılacaktır.. Aşağıdaki adımları uygulayın: 1. Bu parça için yeni bir dizinde yeni bir proje oluşturun. 2. Ayarlanan butona basıldığında yeni bir numara kabul edecek şekilde bölüm I deki programı güncelleyiniz.bu eylemde 1 kenar yakalama durum bayrağı biti olacaktır. Toplama sayı ekledikten sonra, program kenar yakalama kayıt içine 0 yazarak bayrağı temizlemek zorundadır. 3. Programınızı belleğe yükleyin çalıştırın ve doğru çalışıp çalışmadığını kontrol edin.programı sürekli çalıştırmak gerekir ve basma düğmesine KEY 1 her basıldığında bir rakam eklenmelidir. Bölüm III Daha önceki bölümlerde birikmiş toplamı yeşil LED leri görüntüleyin.şimdi, 7-segment görüntüleri HEX3-HEX0 bir onaltılık sayı olarak bu toplamını görüntüleyecek şekilde güncelleyin. 7-segment görüntülerin ayrıca DE-serisi Basic Computerda bir paralel porta bağlı olduğunu unutmayın Bölüm IV Kesme yerine seçme işlevi programını tasarlayın.bir kesme isteği buton KEY 1 basıldığında yükseltilmesi gerekmektedir.kesme hizmet yordamı birikmiş toplamını hesaplamak ve göstermek zorundadır. Ana program sonsuz bir döngü içinde kesmeleri için gerekli tüm kayıtları kurmak ve sonra beklemek zorundadır. Hazırlık Laboratuvara gelmeden önce bu bölümle ilgili tüm çalışma sorularının hazırlanmış olması gerekmektedir. 21

26 22

27 Laboratuvar Çalışmas 5 Bilgisayar Sistemi Uygulamaları Bu çalışmanın amacı, bir bilgisayar sistemi oluşturmayı öğrenmek ve bunu FPGA cihazına uygulamak.sistem bir Altera Nios II işlemci anahtarlarına bağlı giriş / çıkış arayüzü ve Altera DE-serisi kartı arabirimlerinden oluşmaktadır. Bu sistemin donanım kısmını oluşturmak için Quartus II ve SOPC Builder yazılımı kullanacaktır. Uygulama programlarını yüklemek, çalıştırmak ve derlemek için Altera Monitor Program ı yazılımını kullanacağız. Bu çalışmayı yapmak için gerekli bilgileri aşağıda ki adreslerden öğrenebilirsiniz: Introduction to the Altera Nios II Soft Processor ve Altera web sitesinin Üniversitesi Programı bölümünde bulunan Introduction to the Altera SOPC Builder Bu çalışmada,de-serisi Temel Bilgisayarda daha basit bir sistem oluşturacağız, ancak paralel I / O benzer yetenekleri vardır. İstenen sistem Lab 4 gördüğümüz paralel giriş / çıkış arabirimleri (PIO) kullanımı uygulama görevleriyle desteklenmelidir.pio arayüzü SOPC Builder kullanılarak oluşturulan bir bileşen olduğunu Lab 4 ten hatırlıyoruz. Bu giriş veya çıkış (veya her iki) yönde veri transferi sağlar. Veri transferi paralel olarak yapılır ve 1 yada 32 bit içerebilir. Bit sayısı, n, ve veri transfer yönü Altera nın SOPC Builder aracılığıyla kullanıcı tarafından belirlenir. PIO arayüz Şekil 1 de gösterildiği gibi, dört kayıt içerebilir. Address offset (in bytes) (n-1) 0 0 Input/Output data (a) Data register 4 Direction control for each input/output line (b) Direction register 8 Interrupt enable/disable control for each input line (c) Interrupt-mask register 12 Edge detection for each input line (d) Edge-capture register Şekil 1.. PIO arayüzü Yazmaçları. Her kayıt n bit uzunluğundadır. Kayıtların aşağıdaki gibi işlevleri vardır: Veri yazmacı PIO arayüzü ve Nios II işlemci arasındaki n bitlik veri transferini tutar.bu SOPC Builder tarafından giriş, çıkış ya da çift yönlü bir kayıt olarak uygulanabilir. Yön yazmacı bir çift yönlü arayüz oluşturulur n bitlik her bir veri için transfer doğrultusunda tanımlar. 23

28 Kesme-maskesi Yazmacı PIO bağlı giriş hatlarında kesmeleri sağlamak için kullanılır.. Kenar-yakalam yazmacı PIO bağlı giriş hatları üzerinde mantık değeri değişikliği sinyalleri tespit edildiğinde gösterir.. Bu kayıtların hepsi belirli bir PIO arabirimi oluşturmayabilir.örneğin, çift yönlü bir arabirim belirtilirse sadece Yön sayıcı dahiledilir. Kesme-güdümlü giriş / çıkış kullanılırsa Kesme-maskesi ve Kenar-yakalama kayıtları dahiledilir. Eğer bellek konumları olurlarsa PIO sayacı onlara erişilebilir. Anlamlı en az dört bit olan herhangi bir taban adresi (zamanında SOPC Builder tarafından uygulanan) bir PIO olarak atanabilir. Bu Veri register adresi olur.diğer üç yazmacın adresleri bu temel adresten 4, 8 veya 12 bayt (1, 2 veya 3 kelime) uzaktır. Lab 4 te olduğu gibi, bu uygulamanın amacı, Altera nın DE2 kartı geçiş anahtarları ile imzalanan 8 bitlik sayı dizisinin araya eklenmesini içerir. Çıkan toplamı LED ler ve 7-segment görüntülerle gösterilir Bölüm I Bu bölümde biz DE-serisi kartına SOPC Builder kullanarak, FPGA uygulanabilir bir Nios II tabanlı sistem tasarımı yapacağız. DE-serisi kurulu giriş ve çıkış cihazları üzerinde anahtarlar ve LED leri kullanacağız SW 7 0 gibi numaralarını girmek için 8 geçiş anahtarları kullanacağız. Toplamın hepsini görüntülemek için yeşil, LEDG kullanın. HEX3-HEX0 toplamını onaltılı sayı olarak görüntülemek için 7-segment görüntüler kullanın. Bizim çalışmamız için gereken donanım dört PIO arabirim içeren Nios II sistemidir. Geçiş anahtarları bağlı bir PIO devresi, işlemci tarafından okunabilir veri girişi sağlayacaktır. Yeşil LED ler ve HEX ekranlara bağlanmış PIO devreleri, birikmiş toplamını görüntülemek için çıkış arabirimleri olarak görev yapacak. Gerekli donanımı ağağıdaki gibi gerçekleştirin 1. Bir Quartus II projesi oluşturun. DE-serisi kartı için FPGA Aygıtı seçin. Tablo 1 DE-serisi anakart cihazlarının bir listesi için e bakını Kart DE0 DE1 DE2 DE2-70 DE2-115 Cihaz Adı Cyclone III EP3C16F484C6 Cyclone II EP2C20F484C7 Cyclone II EP2C35F672C6 Cyclone II EP2C70F896C6 Cyclone IVE EP4CE115F29C7 Tablo 1: DE-serisi FPGA aygıt isimleri 2. Nios sistem devresi üretmek için SOPC Builder kullanın: On-chip hafıza - RAM modu ve boyut olarak 32 Kbyte ( ayarında varsayılan diğer tüm seçenekler bırakın) JTAG Debug Modül Seviye 1 ile Nios II / s işlemci Donanım Çarp ve Donanım Divide seçenekleri seçmeyin Reset ve İstisna vektörler için konum olarak on-chip hafıza seçin, Şekil 2 de gösterilen İşlemci için varsayılan ayarlarındaki seçenekleri bırakın 24

29 2. Nios II işlemci SOPC Builder özellikleri 8-bit PIO giriş devresi geçiş anahtarlarına bağlı olacak PIO bileşenleri Çevre Birimleri > Mikrodenetleyici Çevre Birimleri > PIO seçerek bulunur. 8-bit PIO çıkış devresi Yeşil LED bağlı olacak 32-bit PIO çıkış devresi HEX görüntüler bağlı olacak Hizmet verecek bir tek-bit PIO devre it KEY 1 olarak durum bayrağına bir buton anahtarı ile bağlı olacak,. Giriş noktasnı bir bit genişliğinde olarak yapılandırın Ayrıca, Giriş Seçenekler sekmesinde aşağıdakileri seçin: Kenar yakalama için Düşüyor kenarı yazmacı tarafından Eş zamanlı yakalama özelliğini aktive etmek. Kenar üzerinde Generate IRQ kesme etkinleştirin ŞEkil 3 te gösterildiği üzere 25

30 Şekil 3. Durumu-bayrağı PIO için özellikler. 3. SOPC Builder PIO tür bileşenlerine otomatik olarak pio, pio1, pio2 bu gibi isimler atar. Belirli bir tasarım bağlamında daha da anlamlı olan bir şey için bu isimler değiştirilmelidir. Örneğin,biz isimleri new_number, green_leds, hex_displays ve status_flag gibi seçebiliriz. 4. status_flag PIO bir kesme isteği artırabilir belirttisi olması için, bu kesme için seviye IRQ belirlemek gereklidir.şekil 4 de gösterildiği gibi, bu ana SOPC penceresinde yapılır. En sağdaki sütündaki IRQ etiketinin sevitesini 1 olarak atayın.tabi ki, seviye 1 seçimi keyfidir. Bu secim b 1 kontrol yazmacının konumu etkiler ctl3 (enable) ve ctl4 (pending) status_flag PIO ile ilgili. Şekil 4, sonuçta elde edilen sistemin özellikleri gösterir. 5. Kayıt için başvururken atanan adresleri gözlemek ve belirtilen sistemi oluşturmak için Generate düğmesine tıklayın. 6. Oluşturulan bir Verilog veya VHDL dosyada dolaşan nios_system ve DE-serisi kartı anahtarlarinin ve LED lerin gerekli bağlantılarını tanımlayan bir örnek yaz. Dosyayı simple_computer.v/vhd dizininde aratacağız. Dosyadan Nios II sisteminin sıfırlamak için it KEY 0 düğme anahtarına basın. PIO durumu-bayrağı girdi olarak buton it KEY 1 kullanın. Butonla etkinleştirmenin biraz zor olduğunu unutmayın 26

31 7. Kendi yönetim kurulu için SKIF pin-atama dosyasını alarak, gerekli bağlantıları yapması için gerekli pini atayın. 8. Quartus II projesi derleyin. Şekil 4. SOPC Builder tarafından uygulanan Nios II sistemi. Assembly dil kodu için yazdığımız her Altera Monitor Program çalıştırıp kullanacağız. Her bölümü için, monitör programında yeni bir proje oluşturmak gerekir. Bölüm II Bu bölümde durum bayrağı kullanılmayacaktır. Aşağıdakileri yapın. 1. Anahtarları içeriğini okuyan, birikmiş toplamı HEX ekranında göteren bir program tasarlayın 2. Altera Monitor Program yazılımını açın ve Şekil 5 de gösterildiği gibi, yeni bir proje oluşturun. 3. Şekil 6 da gösterildiği gibi Specific System seçerek tasarladığı donanım kullanmak istediğinizi belirtin. tasarlanmış Nios II sistemi temsil eden Nios system.ptf dosyasını bulun. Ayrıca, seçtiğiniz dosya simple computer.sof DE-serisi kartının FPGA yonga içine tasarlanan sistemini indirmek için gerekli bilgileri sağlar. 4. Kullanılacak olan Assembly dil programı sırasıyla 7 ve 8 deki Şekillerde gösterildiği gibi verilmiştir. 5. USB-Blaster DE-serisi kurulu olduğundan ve Şekil 9 da belirtilen ana bilgisayarla arasında bağlantı sağladığından emin olun. 27

32 6. Program Şekil 10 de gösterildiği gibi, çip üzerinden belleğe yükleyin.sisteme başka bir bellek dahil olmadığından, bu seçenek varsayılan olarak yapılacaktır. 7. Şekil 10 pencerede bir pop-up kutusu size DE-serisinin sisteminizde kurulu olmasını isteyip istemediğinizi soara,eğer yüklemesini istiyorsanız Evet veson u tıklayın Şekil 5 Monitör programında yeni bir proje oluşturun. 28

33 Şekil 6. Özel Nios II sistemini seçin eğer tasarladığınız proje buysa. Şekil 7. Assembly dil programı kullanıldığını belirtin. 29

34 Şekil 8. Uygulama programını içeren dosyayı belirtin. Şekil 9. Sistem parametrelerini belirtin. 30

35 Şekil 10. Program bellekte nerede yüklü olacağını belirtin. 31

36 8. Şimdi programı ve belleğe yüklemek için monitör penceresindeki Actions > Compile & Load. seçin 9. Programı aracılığıyla tek adımda ve birkaç numara girerek onun doğruluğunu kontrol edin.program sayesinde tek-adımla aynı numarayı birden çok kez okumadan giriş numaralarını değiştirir 10. Şimdi, programı çalıştırın ve sonlandırın. Ne olduğunu gözlemleyin ve gözlenen davranış açıklayın. 11. Programı tekrardan çalıştırn ve reset butonuna basın KEY 0 Bu durumda ne olur? Bölüm III Bu bölümde, geçiş anahtarları ile girilen sayıları okumak için yoklama yaklaşım kullanacağız. Kullanıcının istediği işleme göre geçiş anahtarları ayarlama ve düğmeye basarak bir sonraki sayısı sağlamasıdır.sayısının okumak için hazır olduğunu belirtmek içinkey 1 olmalıdır. Bu görevi yerine getirmek içins veri girişini denetleyen bir mekanizma olması gerekir. yoklama olarak bilinen yaygın kullanılan I / O düzeni, başlangıçta 0 temizlenir bir durum bayrağı kullanmaktır. I / O aygıt arabirimi sonraki veri transferi için hazır olduğu gibi bu bayrak daha sonra kısa sürede 1 olarak ayarlanır.veri aktarımı üzerine, bayrak yeniden temizlenir 0. Böylece, işlemci bir I / O veri aktarımı yapılmalıdığında durumu belirlemek için bayrak secimi yapabilir. Bizim durumumuzda, G / Ç aygıt elle geçiş anahtarları ve presler buton anahtarı ayarları kullanıcı tarafından belirlenir. Bölüm I oluşturulan,hangi kenar yakalama kapasitesine sahip bir bit durumlu bayraklı PIO devresi arabirimi istediğiniz kontrolü sağlar ve Şekil 1 de kayıt haritasına uygundur. Aşağıdaki gerçekleştirin: 1. Düğme it KEY 1 basıldığında yeni bir numara kabul edecek şekilde bölüm II programınızı güncelleyin. Bu işlem edge-capture sicilinde durumu-bayrağı biti 1 olacaktır. Yeni numarayı okuduktan sonra, program edge-capture kayıt içine bir 0 yazarak bayrağı temizlemek zorundadır. 2. programınızı belleğe yükleyin ve düzgün çalıştığını göstermek için deneyin programınızı belleğe yükleyin ve düzgün çalıştığını göstermek için deneyin. Programı sürekli çalıştırmak gerekir ve yeni bir rakam it KEY 1 tuşuna basıldığında eklenmelidir. Part IV Bunun yerine geçiş anahtarları yeni numaraları okumak için yoklama yaklaşım kullanarak, şimdi aynı amaç için kesmeleri kullanmak istiyorum. Bunu gerçekleştirmek için, biz düğme it KEY 1 basıldığında bir kesme yükseltmek için durum-bayrağı PİO yeteneğini kullanacak. Kesme yaklaşımı kullanılarak istenen görevi gerçekleştirmek için assembly dil ile yazılmış programı değiştirin. Final Note: Bu laboratuvar çalışmasının her yerinde tasarladığı sistem DE-serisi Temel Bilgisayar ile pek çok benzerlikleri vardır, ama aynı değildir. Bu Lab 4 için yazdığı assembly dili programlarını sisteminize başarıyla çalıştırmak için bazı değişiklikler gerekebilir anlamına gelir. Hazırlıklar Sizin hazırlık şunlar olmalıdır: 1. Bölüm I için sistem tasarımı 2. Bütün bölümler için assembly dil programlarının hazırlanması 32

37 Laboratuvar Çalışması 6 UART ve Zamanlayıcı Devreler kullanma Bu çalışmanın amacı bir UART devrenin G / Ç aygıtlarna nasıl veri gönderdiğini,aldığını ve nasıl bir zamanlayıcı devre kullandığını öğrenmek.altera DE-serisi kartına yüklenen DE-serisi Basic computer kullanılacaktır. DE-serisi Basic computerr gerekli UART ve zamanlayıcı devreleri içerir. Özgeçmiş Bir işlemci ve bir G / Ç aygıt arasında veri aktarımı için basit ve yaygın olarak kullanılan şema Evrensel Eşzamansız Alıcı Verici (UART) olarak bilinir. Bir UART arayüzü (devre) işlemci ve G / Ç aygıt arasında yer alır.bir defasında 8-bit bir veri karakteri işler.ayrı yollar kullanılarak bir karakterin tüm bitler aynı zamanda aktarılır. UART ve işlemciarasında veri aktarımı paralel bir tarzda gerçekleştirilir, Ancak, UART ve G / Ç aygıt arasında veri transferi seri şekilde yapılır, aynı anda bit bir transferedilir. Altera nın Quartus II yazılımı FPGA aygıtlarında Nios II sistemleri uygulamak için kullanılabilir SOPC Oluşturucu aracı içerir. Bu araç, DE-serisi Basic computer uygulamak için kullanılır.uart tipi bir arayüz, Nios II işlemci ve DE-serisi kartına bağlı ana bilgisayar arasında bağlantı kurar buna JTAG UART denir. Şekil 1 JTAG UART devresinin bir blok diyagramını göstermektedir. Bir tarafta JTAG UART Nios II işlemci, bellek yongaları ve I / O arabirimleri birbirine Avalon anahtar yapısıyla bağlanır.diğer tarafta da USB-Blaster arabirimi üzerinden ana bilgisayara bağlanır. JTAG UART çekirdekli iki yazmaç içerir: Veri ve Kontrol, olarak işlemci tarafından erişilen hafıza konumları. Kontrol register adresi Veri kayıt atanan adres 4 byte daha yüksektir. Çekirdek aynı zamanda iki depolama tampon görevi FIFOlar, ana bilgisayardan alınan verileri ve diğer aktarılacak verileri bir kuyruk için içerir. Şekil 2 kayıtların biçimini sağlamaktadır. JTAG UART Core Avalon switch fabric Registers Data Write FIFO JTAG interface Host computer IRQ Control Read FIFO Şekil 1 JTAG UART devresinin bir blok diyagramıdır 33

38 Address x RAVAIL RVALID Unused DATA Data register 0x WSPACE Unused AC WI RI WE RE Control register Şekil 2. JTAG UART içinde Yazmaçlar Veri yazmacında ki alanları aşağıdaki gibi kullanılır B 7 0 (DATA) bir alış veriş işlemi işlemci tarafından gerçekleştirildiğinde FIFO içine yerleştirilmek üzere 8-bitlik bir karakter yaz ya da bir yük işlemi gerçekleştirildiğinde bu FIFO dan okunan bir karakter Oku B 15 (RVALID) DATA alan işlemci tarafından okunabilir bir karakter olup olmadığını gösterir. VERİ alanı geçerli ise, bu bit 1 olarak ayarlanır, aksi takdirde 0 ile temizlenir B (RAVAIL) Oku FIFO (Bu salt sonra) kalan karakter sayısını gösterir. Kontrol kayıt alanlarını aşağıdaki gibi kullanılır: B 0 (RE) 1 olarak okuma kesmeler sağlar. b 1 (WE) yazma kesmeler sağlar. B 8 (RI) değeri 1 ise bir okuma kesmesinin beklemede olduğunu gösterir. Data sayacı biti 0 ise temizler. B 9 (WI) değeri 1 ise bir yazma kesmesinin beklemede olduğunu gösterir. B 10 (AC) 1 Yazma 0 temizleme olarak (böyle bir bağlantının var olduğunu doğrulamak için ana bilgisayar yoklama JTAG UART gibi) JTAG faaliyeti gösterir. B (WSPACE) Yazılacak mevcut alanların FIFO sayısını gösterir. JTAG UART hakkında daha fazla bilgi it Altera Gömülü Çevre Birimleri Elkitabı Bölüm 5 de bulunabilir. Bu çalışmada DE-serisi kartına uygulanan bir Nios II işlemci ve ana bilgisayar arasında ASCII-kodlanmış karakterlerin aktarımında JTAG UART kullanılacaktır. Ayrıca, sabit bir gecikme sağlamak için bir aralık sayacı "devresinin kullanacaktır Kullanılacak bu donanımının bir blok diyagramı Şekil 3 de gösterilmiştir. Bu donanıma DE-serisi Basic Computer dahildir. 34

39 Host computer USB-Blaster interface Nios II processor JTAG Debug module JTAG UART interface Cyclone II FPGA chip Avalon switch fabric Memory Interval Timer Şekil 3. İstenen Nios II sistemi Cyclone II FPGA Bölüm I Altera Monitor programına ascı karakterleri gönderebilirsiniz.programda bir kontrol kısmı olması gerekir. Yazılacak alana olduğu sürece asci karakterlerini yazmamızı sağlayacak bir program olması gerekir. Kontrol bölümü yazılacak boş alanı kontrol eder. Monitör Programı terminal penceresinde yaklaşık her yarım saniyede bir " Z " harfi görüntülemek için bir Nios II assembly-dil programı yazın. Aşağıdaki gibi programı oluşturun ve yürütün: 1. Nios II assembly dili kullanarak, Kontrol JTAG UART kayıt okuyan ve mevcut bazı yazma alan kalmayıncaya kadar döngü içinde yazan. 2. Data yazmacına Z harfi yazın 3. Monitor Programını kullanarak, assembly dili ile yazılmış programı yükleyin ve derleyin. 4. Sadece tek adım özelliğini kullanarak bu programı çalıştırın. Eğer Devam modunu kullanarak bu programı çalıştırırsanız,monitor programı karakteri daha hızlı işleyebilir daha hızlı terminal penceresi gönderilecektir. 5. Assembly-dil kodu, her yarım saniyede yaklaşık bir karakter yazdırılır, böylece bir gecikme döngüsü oluşur. 6. Programı yeniden yükleyin ve derleyin. 35

40 Bölüm II JTAG UART terminal penceresinden ASCII karakterleri alabilir, hem de bunları yazabilirsiniz.rvalid bit, b 15, Veri yazmacı içinde geçerli alınan bir ASCII karakteri VERİ alanında geçerli bir değer olup olmadığını gösterir.daha fazla okunabilen halde bekleyen karakter varsa, RAVAIL alanı olmayan bir sıfır değere sahip olacaktır. Bir daktilo gibi "görev uygulayan bir program yazın;, ana bilgisayardan JTAG UART tarafından alınan her karakter okunacak ve sonra İzleme Programı terminal penceresinde görüntülenecek. Yeni bir karakterin JTAG UART tarafından kullanılabilir olup olmadığını belirlemek için yoklama yöntemini kullanın. Bölüm III Yoklama JTAG UART UART ların durumunu belirlemek için kendi saklayıcılarındaki okuma yükü nedeniyle, verimsizdir. Yeni bir karakter varsa belirlenmesi yükü anlamlı programın performansını etkiler.bunun yerine, bunun gerçekleşmesi için bir I / O aktarım beklerken işlemci yararlı işler yapmak için kesme mekanizmasını, kullanmalıdır. Ana bilgisayardan JTAG UART tarafından aldığı karakterleri okuması için bir kesme hizmet yordamı oluşturun. DE-serisi Basic Computer istisna eylemcisi için atanan konumu hex 0x20 adresine, rutin kesme hizmet yerleştirin. Ayrıca, ienable olarak Nios II kontrol sayıcı ctl3, bireysel olarak kesmeleri sağlar. DE-serisi Basic computer JTAG UART kesme seviyesi 8 olarak atanır. Bu kayıt kontrolü ctl3, bit ctl3 8 JTAG UART lar kesmeleri etkinleştirmek için 1 olarak ayarlanması gerekir anlamına gelir. Buna ek olarak, kayıt kontrölü ctl0,aynı zamanda b ctl0 0 1 Olmalıdır Bu işlemci kesme-enable bit 1 olarak ayarlayarak harici kesmeler kabul edilmesini sağlar. Aşağıdakileri gerçekleştirin: 1. JTAG UART bir karakter okumak için bir kesme hizmet yöntemi yazın Note that Kesme hizmet yordamı belleğin 0x20 adresine yerleştirilmelidir. Kesmelerini etkinleştirmek için, uygun değerler Kontrol JTAG UART yazılır ve Nios II kayıt kontrölü ctl0 ve ctl3 gerekir. 2. Kesme hizmet yordamı olarak, İzleme Programı terminal penceresinde ana bilgisayardan alınan karakterleri görüntülemek için seçme yaklaşımını kullanın 3. Programınızı belleğe yükleyin ve derleyin Programınız ilk denemede hiç çalışmıyorsa, bunun hatalarını ayıklamak veı düzeltmek zorunda kalacaksınız. Kesmeleri söz konusu olduğunda bir program adımlarını kesmeler otomatik olarak devre dışı bırakılır Ancak, bu yaklaşım, kullanılamaz. Bu nedenle, bir hata giderme yardımcısı olarak kesme noktaları kullanılmalı Kesmeler, rutin bir kesme hizmeti otomatik yürütülmeye başladığında devre dışı bırakılır ve bu rutinden çıkıldıktan dan sonra yeniden etkin olur. Bu, bazı uygulama İç içe kesme gerektiriyorsa, kesmelerin rutin kesme servisi içinde yeniden etkin olması anlamına gelir. Bölüm IV Bu bölümde ana bilgisayara JTAG UART tarafından alınan karakterleri okumak için kesmeleri kullanacağız ve son alınan karakteri tekrar tekrar her 500 milisaniye görüntüleyeceğiz.bölüm I de yaklaşık bir zaman aralığı üretmek için bir gecikme döngüsü kullanılmıştık. Şimdi, bu amaçla Aralık Zamanlayıcı devresini kullanacağız. Altera Monitor programının terminal penceresine her 500 ms bir karakter yazılmalıdır.aralık zamanlayıcı bunu sağlar. Zaman aralıklı çekim belirtilen bir değere ayarlanır ve sonra her saat döngüsünde sayac azaltılır.sayaç 0 a 36

41 ulaştığında, bir zaman aşımı "olayı meydana geldiği söyleniyor.bu noktada Zaman aralıklı çekim kesme isteği artırabilir ve sayaç belirtilen değeri sıfırlanır aman aralıklı çekim JTAG UART benzer bellek yeri olarak erişilebilinen 16 Bit kayıt kümesi vardır. Bu kayıt birimleri Şekil 4 de gösterilmiştir. Zaman aralıklı çekim atanan taban adresi Durumu yazmaç adresi 0x Kontrol kayıt adresi 0x yer almaktadır.sayaç için baslangıc değerleri 0x (değerin alt sıra 16 bit) ve 0x (yüksek-sipariş değeri 16 bit) olarak kayıt belirtilir. Address x Unused RUN TO Status register 0x Unused STOP START CONT ITO Control register 0x x C 0x x Not present (interval timer has 16-bit registers) Counter start value (low) Counter start value (high) Counter snapshot (low) Counter snapshot (high) Şekil 4. Zaman aralıklı çekim içinde Yazmaçlar It Durumu kayıt bitleri aşağıdaki gibi kullanılır: B 0 (TO) zaman aşımı biti.zaman aralıklı çekim iç sayacı 0 ulaştığında bunu 1 olarak ayarlar. Açıkça mevcut bir kesme isteği için yapılması gereken ona bir 0 yazma işlemci tarafından temizlendi kararını vermek. Dahili sayacı çalışırken b 1 (RUN) 1 eşittir, aksi halde 0 eşittir. Bu Durum biti bu kayıt için bir yazma işlemi ile değişmez. Kontrol kayıt bitleri aşağıdaki gibi kullanılır: b 0 (İTO) 1 Zaman aralıklı çekim kesmeler sağlar. B 1 (CONT) 0 a ulaştığında dahili sayacı nasıl davranacağını belirler. CONT = 1 ise, belirtilen başlangıc değeri 0 a ulaştığınd a sayaç tarafından sürekli olarak çalışır; aksi halde durur. B 2 (START) bir yazma işlemi ile 1 set çalıştırmaya başlamak için dahili sayacı neden olur. B 3 (STOP) bir yazma işlemi ile 1 olarak ayarlanmış dahili sayacı durdurur. Zaman aralıklı çekim hakkında daha fazla bilgi Altera Gömülü Çevre Birimleri Elkitabı bölüm 12 bulunabilir. 37

42 Aralık Zamanlayıcı ve JTAG UART karakter okumak için kesmeleri kontrol eder (Bölüm III), ve bit b 8 ctl3 1 olarak ayarlanması için b 0 etkinleştirmek gerekir Ayrıca, ipending sayıcı kontrölüı ctl4, hangi kesmenin oluştuğunu belirlemek için kullanılabilir.bir kesme kayıt kontrölü ctl3 kullanarak devre dışı bırakılırsa,bu kesme hizmet yordamı çalıştırmaya neden olsada,kayıt kontrölü ctl4 olarak 1 olarak tetiklense bile 1 kesme istek hattı olamaz. Aşağıdaki adımları uygulayın: 1., Bölüm III Ana program Zaman aralıklı programı değiştirirerk, kesmeler sağlanır ve sonra sonsuz bir döngü içinde bekler. 2. Zaman aralıklı çekim ve JTAG UART lar okumayı, kesmeleri işlemek için kesme servis rutini değiştirin. 3. Zaman aralıklı çekim ve Nios II kontrol kayıtlarını, JTAG UART kayıt it ctl0 ve it ctl3 Kesmelerini etkinleştirmek için, uygun değerler it Kontrol yazılmalıdır it Kontrol 4. Programınızı belleğe yükleyip,derleyin ve çalıştırın Hazırlık Gerekli assembly dili programlarını yazınız 38

43 Laboratuvar Çalışması 7 UART ve Timer Devreleri Uygulamaları Laboratuvar çalışması 6 da DE serisi Basic computer da uygulanan UART ve Timer devrelerin kullanımı araştırılmıştır.bu çalışma kullanıcın Altera DE-serisine SOPC Builder tarafından oluşturulan bir sistemin uygulanabilir hale getirmektir. Nios II modülü örneğini sağlamak için, Verilog veya VHDL donanım dillerinin tanımlanması için yeterli bilgi gerektirir. SOPC Builder aşina olmayan bir kullanıcı Altera web sitesinin Üniversitesi Programı bölümünde gerekli bilgileri bulabilir Altera SOPC Builder Giriş, okumalısınız. Çalışmanın amacı, UART devre kullanarak G / Ç aygıtları için nasıl veri gönderip, alacağını ve zamanlayıcı devre kullanarak zaman olaylarını öğretmektir. Bir işlemci ve bir G / Ç aygıt arasında veri aktarımı için basit ve yaygın olarak kullanılan şema Evrensel Eşzamansız Alıcı Verici (UART) olarak bilinir. Bir UART arayüzü işlemci ve G / Ç aygıt arasında yer alır.bir defasında 8-bit veri gönderilir.uart ve işlemci arasında veri aktarım paralel yapılır ayrı yollar kullanılarak tüm karakter bitleri aynı zamanda aktarılır. Ancak, UART ve G / Ç aygıt arasında veri transferi seri şekilde yapılır aynı anda bir bit transfer edilir. Altera nın Quartus II yazılımı SOPC Oluşturucu aracı içerir, FPGA aygıtlarında Nios II sistemleri uygulamak için kullanılabilir.kullanıcının istediği bir sistemi tasarlamak için dahil edilebilir. Nios II işlemci ve DE-serisi kartına bağlı tek bileşenli UART tipi bir arayüz ana bilgisayar arasında bağlantı kurmayı sağlar buna JTAG UART denir. Şekil 1 JTAG UART devresinin bir blok diyagramını göstermektedir. Bir tarafta JTAG UART Nios II işlemci, bellek yongaları ve I / O arabirimleri birbirine Avalon anahtar yapısıyla bağlanır. Diğer tarafta da USB-Blaster arabirimi üzerinden ana bilgisayara bağlanır. JTAG UART çekirdekli iki yazmaç içerir: bellek yerleri gibi işlemci tarafından erişilen Veri ve Kontrol. Kontrol register adresi Veri kayıt atanan adres 4 byte daha yüksektir. Çekirdek aynı zamanda iki depolama görevi FIFOlar vardır, ana bilgisayardan alınan verileri kuyruk için depolar ve diğer aktarılacak verileri için depolar.şekil 2 kayıtların biçimini sağlamaktadır. JTAG UART Core Avalon switch fabric Registers Data Write FIFO JTAG interface Host computer IRQ Control Read FIFO Şekil 1. JTAG UART devrenin blok diyagramı 39

44 Address Base address RAVAIL RVALID Unused DATA Data register Base + 4 WSPACE Unused AC WI RI WE RE Control register Şekil 2. JTAG UART içinde Yazmaçlar Veri sayacı alanları aşağıdaki gibi kullanılır: B 7 0 (DATA) bir alışveriş işlemi işlemci gerçekleştirildiğinde.yaz FIFO içine yerleştirilmek üzere 8-bitlik bir karakter ya da bir yük işlemi gerçekleştirildiğinde bu Oku FIFO okunan bir karakter. B 15 (RVALID) DATA alan işlemci tarafından okunabilir bir karakter içermediğini gösterir.veri alanı geçerli ise, bu bit 1 olarak ayarlanır, aksi takdirde 0 ile temizlenir. B (RAVAIL) Oku FIFO (Bu salt sonra) kalan karakter sayısını gösterir. Kontrol kayıt alanlarını aşağıdaki gibi kullanılır B 0 (RE) 1 olarak okuma kesmelerini sağlar b 1 (WE)1 olursa yazma kesmelerini sağlar. B 8 (RI) değeri 1 ise bir okuma kesmesini beklemede olduğunu gösterir. 0 Veri kayıt Okuma biti temizler B 9 (WI) değeri 1 ise bir yazma kesmesinin beklemede olduğunu gösterir. B 10 (AC) biraz temizlenmesinden beri (böyle bir bağlantının var olduğunu doğrulamak için ana bilgisayar yoklama JTAG UART gibi) JTAG faaliyeti olmuştur gösterir.ac 1 olarak Yazma 0 temizler. B (WSPACE) Write FIFO mevcut alanların sayısını gösterir. TAG UART hakkında daha fazla bilgiyi Altera Gömülü Çevre Birimleri Elkitabı Bölüm 5 de bulunabilirsiniz. Bu egzersiz de bir Nios II işlemci ve ana bilgisayar arasında ASCII-kodlanmış karakterler aktarmak için JTAG UART kullanacağız. Ayrıca sabit gecikmeler sağlamak için bir aralık sayacı "devre kullanımı yapacaktır. istediğiniz bu kullanım donanım bir blok diyagramı Şekil 3 de gösterilmiştir. Bölüm I,II ve sonrada V, bu donanım tasarımı yapılan, bazı programlamaların çalışmasını uygulamak için tasarlanmış bir sistem kullanacağız. Bölüm I Bir Nios II işlemci, JTAG UART, çip üzerinde bellek bloğu ve Zaman aralıklı çekim oluşur. Şekil 3sistem oluşturmak için SOPC Builder kullanın. 40

45 Hostcom puter Reset_n Clock USB-Blaster interface Nios IIprocessor JTA G D ebug m odule JTAG UART interface Cyclone II FPG A chip Avalon sw itch fabric On-chip memory Interval Timer Şekil 3. Bir Cyclone II FPGA yongası üzerinde istenilen Nios II sistemi Sistem aşağıdaki gibi i uygulayın: 1. Yeni bir proje oluşturun. DE-serisi kartı için Cyclone serisi cihaz ailesi seçin Kullanılabilir aygıtlar listesinden, kurulu DE serisi için uygun aygıt adı seçin.de-serisi anakartlarda cihazların isim listesi tabloda mecuttur tablo: cihaz. Kart DE0 DE1 DE2 DE2-70 DE2-115 Aygıt Adı Cyclone III EP3C16F484C6 Cyclone II EP2C20F484C7 Cyclone II EP2C35F672C6 Cyclone II EP2C70F896C6 Cyclone IVE EP4CE115F29C7 Tablo 2: DE-serisi FPGA aygıt isimleri 2. Nios II sisteminde aşağıdaki bileşenleri içeren bir sistem oluşturmak için SOPC Builder kullanın : On-chip bellek - RAM modu ve boyutu 8 Kbyte JTAG Debug Modül Seviye 1 ile Nios II işlemcisini secin 41

46 Donanım Çarp ve Donanım Divide seçenekleri seçmeyin Reset ve İstisna vektörü Bütünleşik bellek seçin JTAG UART - bileşen bölümünde Arayüz Protokolleri > Seri bulunan; varsayılan ayarları kullanabilirsiniz Zaman aralıklı çekim - bulunan Çevre Birimleri > Mikrodenetleyici Çevre Birimleri Donanım Seçenekleri için - Presets Basit periyodik kesme seçin Zaman Aşımı Süresi 500 msec bir Sabit Dönem tercih edin Şekil 4 te gösterilmektedir. Şekil 4. Zaman aralıklı çekim için özellikler 3. Sistemi menüsünden zamanlayıcıya Auto-Assign IRQ seçin JTAG UART ve IRQ 1 IRQ 0 atar. Şimdi, Şekil 5 te gösterilen sisteme sahip olmalıdır. 4. SOPC Builderdan çıkın ve Quartus II yazılımı dönün Sistemi oluşturun. 5. Oluşturulan Nios II sistemi KEY 0 başlatır ve saat için bağlantı kuran bir Verilog / VHDL modülü yazın. 6. Tabloya?? bakarak pin bağlantıları atayın. 42

47 Bileşen DE0 DE1 DE2 DE2-70 DE2-115 CLOCK 50 PIN_G21 PIN_L1 PIN_N2 PIN_AD15 PIN_Y2 KEY 0 PIN_H2 PIN_R22 PIN_G26 PIN_T29 PIN_M23 Tablo 3: Pin Assignments clk to CLOCK 50 reset_n to KEY 0 7. Quartus II projesini derleyin. Şekil 5. Bir Cyclone II FPGA yongası üzerinde SOPC Builder tarafından uygulanan Nios II sistemi Bölüm II Altera İzleme Programına ASCII karakterleri gönderebilir JTAG UART kendi terminal penceresinde bu karakterleri görüntüler. Kontrol JTAG UART kayıt içinde WSPACE boş alan varsa, JTAG UART Altera İzleme Programına yazılacak yeni bir karakter kabul edilir.izleme programın kayıt alanı dolana kadar yazmak için karakter okur. JTAG UART Veri kayıt alanı olduğunda bir ASCII karakteri içine yazılabilir.monitör Programı terminal penceresinde yaklaşık her yarım saniyede bir "Z" harfi görüntülemek için bir Nios II assembly-dil programı yazın. Aşağıdaki gibi program oluşturun ve çalıştırın: 1. tr Türkçe Nios II assembly dili kullanarak, Kontrol JTAG UART mevcut bazı yazma alan kalmayıncaya kadar yazma işlevi yapan bir döngü oluşturun.daha sonra veri sayacına Z harf yazın 43

48 2. İzleme Programı kullanarak, bu bölüm için yeni bir proje oluşturun. Özel Sistem ve Sistem Detayları altında Bölüm I. sistemi için. Sof dosyaların tasarlanmış olduğu. Ptf vermek için seçin DE-serisi Kurulu FPGA halinde sisteme indirin. 3. Assembly diliyle yazılmış programınızı yükleyin ve derleyin 4. Sadece Tek adım özelliğini kullanarak bu programı çalıştırın.eğer Devam modunu kullanarak bu programı çalıştırırsanız,izleme Programı karakteri daha hızlı işleyebilir ve dahahızlı terminal penceresine gönderilecektir. 5. Assemblyl-dili kodu, karakter sadece saniyenin yarısı kadar süred basılır, böylece bir gecikme döngü oluşur. 6. Tekrardan derleyin ve çalıştırın. Bölüm III Ayrıca JTAG UART terminal penceresinden ASCII karakterleri alabilirsiniz. RVALID bit, b 15, Veri kayıt içinde VERİ alanına bir değerin ASCII karakterin geçerli olup olmadığını gösterir.yinede okunmayı bekleyen daha fazla karakter varsa, RAVAIL alanı olmayan bir sıfır değere sahip olacaktır Bir daktilo gibi işlev gören bir program yazınız. Yeni bir karakterin JTAG UART da kullanılabilir olup olmadığını belirlemek için yoklama kullanın. Bölüm IV Durumunu belirlemek için JTAG UART Yoklama verimsiz olur. Yeni bir karakter varsa belirlenmesi yükü anlamlı programın performansını etkiler.bunun yerine, bunun gerçekleşmesi için bir I / O aktarım beklerken işlemci yararlı işler yapmak kesme mekanizmasını, kullanmalıdır. Ana bilgisayardan JTAG UART tarafından aldığı karakterleri okuması için bir kesme hizmet yordamı oluşturun. DE-serisi Temel Bilgisayar istisna eylemcisi için atanan konumu hex adresine 0x20, rutin kesme hizmet yerleştirin. Ayrıca, ienable olarak Nios II kontrol sayıcı ctl3, bireysel olarak kesmeleri sağlar. Sisteminizde JTAG UART kesme seviye 0 olarak atanmışsa, kayıt kontrolunden sonra ctl3, bit ctl3 0 JTAG UART lar kesmeleri etkinleştirmek için 1 olarak ayarlanması gerekir. Set durumun da kontrol biti 0 durumıundan 1 durumuna geçmek zorundadır. Harici kesmeler kabul etmesi için işlemcinin kesme-enable biti 1 olarak ayarlayarlanmalı. Aşağıdaki gerçekleştirin: 1. JTAG UART bir karakter okumak için bir kesme hizmet yordamı yazmayı Unutmayın Kesme hizmet yordamı bellek adresi 0x20 yerleştirilmelidir. JTAG UART kesmelerini etkinleştirmek için, uygun değerler sayıcıya yazılır ve Nios II kontrol kaydı ctl0 ve ctl3 gerekir. 2. Kesme hizmeti olarak, İzleme Programı terminal penceresinin ana bilgisayardan alınan karakterleri görüntülemesi için rutin yoklama yaklaşım kullanın. 3. Programınızı belleğe yükleyin ve çalıştırın Programınız ilk denemede çalışmıyorsa hatalar ve eksiklikler vardır bunları ayıklamalısınız.program kesmeleri söz konusu olduğunda bir kesmel otomatik olarak devre dışı bırakılır Ancak, bu yaklaşım kullanılamaz. Bu nedenle, bir hata giderme yardımcısı olarak kesme noktaları kullanılmalı. 44

49 Kesmeler otomatik olarak devre dışı bırakılır ve rutinden çıkıldığında bir kesme hizmeti rutin yürütme başladığında yeniden etkinleşir. Bu, bazı uygulamalar İç içe kesme gerektiriyorsa, kesmeler,rutin kesme servis içinde yeniden etkin olması anlamına gelir. Bölüm V Bu bölümde biz ana bilgisayardan JTAG UART tarafından alınan karakterleri okumak için kesmeleri kullanır ve son alınan karakteri tekrar tekrar her 500 milisaniye görüntüleriz.bölüm II bu uzunlukta yaklaşık bir zaman aralığı üretmek için bir gecikme döngüsü kullanılmıştır.şimdi, bu amaçla Aralık Zamanlayıcı devresi kullanılacaktır. Her noktada 500 ms, kesme gerektiren Zaman aralıklı çekim işlemci bir karakter İzleme Programı terminal penceresine yazılır. Zaman aralıklı çekim belirtilen bir değere ayarlanır ve sonra her saat döngüsünde sayaç azaltılır.sayaç 0 a ulaştığında, bir zaman aşımı "olayı meydana geldiği söyleniyor. Bu noktada Zaman aralıklı çekim bir kesme isteği artırabilir ve sayaç belirtilen değeri sıfır olabilir Zaman aralıklı çekim JTAG UART benzer bellek yeri olarak erişilebilir 16 Bit kayıt kümesi vardır.bu kayıt birimleri, Şekil 6 da gösterilmektedir Bölüm I dizayn edilen sistem zaman Durumu register adresi Zaman aralıklı çekim atanan taban adresi.kontrol register adresi dört bayt yüksektir. Şekilde gösterildiği gibi sonraki iki kelime adresleri sayaç için başlangıç degerini belirtmek için kayıtlar ile atanır. Address Base address Unused RUN TO Status register Base + 4 Unused STOP START CONT ITO Control register Base + 8 Base + 12 Base + 16 Base + 20 Not present (interval timer has 16-bit registers) Counter start value (low) Counter start value (high) Counter snapshot (low) Counter snapshot (high) Şekil 6. Zaman aralıklı çekim içinde Yazmaçlar Durumu kayıt bitleri aşağıdaki gibi kullanılır: B 0 (TO) zaman aşımı biti. Dahili sayacı çalışırken b 1 (RUN) 1 eşittir, aksi halde 0 eşittir. Bu Durum bit bu kayıt için bir yazma işlemi ile değişmez. Kontrol kayıt bitleri aşağıdaki gibi kullanılır: b 0 (İTO) 1 oluras Zaman aralıklı çekim kesmeler sağlar B 1 (CONT) 0 a ulaştığında dahili sayacnını nasıl davranacağını belirler. Sayacın belirtilen başlangıc değeri CONT = 1 ise sürekli olarak çalışır; aksi halde 0 a ulaştığında durur. B 2 (START) bir yazma işlemi çalıştırmaya başlamak için dahili sayacı 1 t olmalıdır B 3 (STOP) bir yazma işlemi ile 1 olarak ayarlanmış dahili sayacı durdurur. Zaman aralıklı çekim hakkında daha fazla bilgiyi Altera Gömülü Çevre Birimleri Elkitabı Bölüm 12 de bulabilirsiniz. Okuma karakterleri için Aralık Zamanlayıcı ve JTAG UART her ikisi de kesmeleri (Bölüm III), bit b 8 ve kontrol kayıt ctl3 hem de b 0 etkinleştirmek için 1 olarak ayarlanması gerekir. Hangi kesme oluştuğunu belirlemek 45

50 için ctl4 denetimi kayıt aygıtını kullanır. Bir kesme kontrol kayıt ctl3 kullanarak devre dışı bırakılırsa Cihazı görünür olsa bile, bu kesme hizmet yordamı çalışmaz, 1 olan kesme istek hattı ctl4 kontrol kayıt olarak tetiklenir. Aşağıdaki adımları uygulayın: 1. İstenen çekim Zaman aralıklı süre sayımıyapacak şekilde bölüm 3 deki programı düzenleyin, ana program böylece sonsuz bir döngü içinde kesmeler sağlar ve sonra bekler. 2. Zaman aralıklı çekim ve JTAG UART lar kesmeleri hem okumak hemde işlemek için kesme servis rutini değiştirin. 3. Zaman aralıklı çekim ve Nios II kontrol kayıtların kesmelerini etkinleştirmek için, uygun değerler Kontrol yazılmalıdır Kontrol kayıt, JTAG UART kayıt ctl0 ve ctl3. 4. Programınızı belleğe yükleyin ve derleyin Hazırlık Soruların cevaplarını hazırlayın: 1. Şekil 3 de sistem tasarımı 2. Bütün soruların assembly kodunu hazırlamış olarak gelin 46

51 Laboratuvar Çalışması 8 Ses Kodeği Bu çalışmanın amacı, Altera DE-serisi Kurulu Ses kodlayıcı / kod çözücünün (CODEC) nasıl kullanılacağını öğrenmek. DE-serisi ne tümleşik bir mikrofon ve hoparlör bağlayarak ses kaydını oynatmaya izin verilecek. Mikrofon ve hoparlör manuel erişmek için Altera DE-serisi Kurulu DE-serisi Medya Bilgisayar DE-serisi Medya Bilgisayar, kullanılacaktır. Bu tür konuşma ve müzik gibi Seslerin zamanla sinyalleri değişecektir. Bir sinyali amplitüdde duyulan sesin hacmi belirler. Zamanla sinyal değişiklikleri duyduğumuz seslerin türünü belirler. Örneğin, bir "ah"sesi Şekilde gösterilen bir dalga ile temsil edilir Şekil 15: Bir "ah" sesi için dalga. Dalga zaman içinde belli noktalarda analog değerleri temsil eden örneklerine anispeten az sayıda analog sinyal kullanarak dijital ortamda saklanabilir.bu tür dijital sinyalleri üretilmesi işlemi bir örnekleme olarak adlandırılır. Şekil 16: Bir "ah" sesi için bir örnek dalga. Şekil noktaları Bir örnek dalga formu sağlamak için. Tüm noktaları zaman içinde eşit aralıklı ve orijinal dalgalarla takip edilir. 47

52 Sesleri kaydetmek ve oynatmak için DE-serisi Medya Bilgisayar kullanılacak. DE-serisi Basic Computer multimedya programlarını çalıştırmak için faydalı birkaç ek bileşenleri içerir. Özellikle, bir bilgisayar ekranı ve DE-serisi kuruluysa bir klavye ya da fareyi doğrudan bağlamak için bir PS / 2 Port grafikleri görüntülemek için mikrofon ve hoparlör, Video-Out Liman erişmek için bir Audio Liman içerir.bu çalışmada, kayıt yapmak ve kaydı oynatma için Audio CODEC kullanıalacaktır. audo CODEC e erişmek için DE-serisi Medya Bilgisayar kullanılacaktır. Şekil kullanacağımız DE-serisi Medya Bilgisayar bölümünü gösterir. Host Computer USB-Blaster interface FPGA chip Nios II processor JTAG debug module JTAG UART interface Avalon switch fabric Memory Audio Port Audio CODEC Microphone Speakers Şekil 17: Bu uygulamada kullanılan DE-serisi Medya Bilgisayar Kısmı. Şekil gösterildiği gibi Audio Port, Nios II işlemci, harici mikrofon ve hoparlör sistemine bağlı Audio CODEC tarafından yürütülen bir program arasında bir bağlantı sağlar. Nios II işlemci tarafından çalıştırılan bir kullanıcı programı kaydedebiliyor ve Ses portu kullanarak sesleri çalabilirsiniz. Ses, bir mikrofon sistemi kullanılarak kaydedilebilir. Şekilde gösterildiği gibi Mikrofon içine ses bir analog dalga olarak dönüştürülür. Kod çözücü örnekleri arasında, her bir 1/48000th ikinci dalga ve kayıt FIFOlar Örnekleri içinde depolanır.kayıt FIFOlar sonra işlemci tarafından okunabilir.bir çıkış dalga üretmek için, Ses Liman işlemci bir girdi olarak bir örnek dalga kabul eder ve Oynatma FIFOlarında saklar. CODEC sonra analog bir dalga haline FIFOlar verileri dönüştürür ve hoparlörler gönderir 48

53 Audio Port Record Nios II processor Audio Registers Left FIFO Right FIFO Playback Left FIFO Right FIFO Audio CODEC Microphone Speakers Şekil 18: Ses alt sistemi. Kullanıcı programları DE serisi Medya Bilgisayar I / O arayüzü ile Ses Liman erişebilirsiniz. Arabirimi, dört bellek eşlemeli yazmaç içerir. Şekil kayıtları ve bellek adres alanının kendi konumunu göstermektedir. Address x Unused WI RI CW CR WE RE Control register 0x WSLC WSRC RALC RARC Fifospace register 0x Left data Leftdata register 0x C Right data Rightdata register Şekil 19: Ses erişim DE-serisi Medya Bilgisayar üzerine kaydeder. Kontrol kayıt Ses Liman kontrol etmek için kullanılan altı adet bit içerir: RE ve WE (RE) Kayıt FIFO 75 % dolduğunda Ses Liman kesme isteği oluşturmak için bit sağlar, veya oynatma FIFO olup az 25 % tam (We), ya da her ikisi CR ve CW bit sırasıyla Kayıt ve Playback FIFO tampon temizleyin. Kesme istekleri yükseldiği zaman RI ve WI bit 1 ayarlanır. RI Kayıt FIFO en az 75 % dolu olduğunda 1 olur; Oynatma FIFO az 25 % dolduğunda WI bit 1 olur. Fifospace kayıt FIFOlar her birinin durumunu gösterir.her FIFO da 128 örnek için yer var. Sağ / Sol Kayıt FIFO mevcut numune sayısı RALC / RARC alanında okunabilir.benzer şekilde, Sol / Sağ Oynatma FIFO numuneler için kullanılabilir alan miktarını WSLC / WSRC alandan okunabilir. Leftdata ve Rightdata kayıt kayıtlar yazarak Oynatma FIFOlar (sol veya sağ) veri yüklemek için, ya da bu kayıtların okuyarak Record FIFOlardan ses almak için ya kullanılır.kullanıcılar, okuma veya Leftdata yazmadan önce Kayıt ve Playback FIFOlar durumunu kontrol etmek için Rightdata kaydeder.kayıt FIFOlar boşsa, daha sonra Leftdata ve Rightdata kayıtların içeriği geçersiz olur. Ayrıca eğer, Oynatma FIFOlar doluysa daha sonra Leftdata yazar ve Rightdata kayıtlar Ses Liman tarafından göz ardı edilecektir. 49

54 Bölüm I Bu bölümde yapacağınız çalışma 3-İkinci kayıt oluşturmak ve oynatmak için.bunu yapmak için, mikrofon ve hoparlör DE-serisi kuruluna bağlı olması gerekir.konuşmacılar yeşil jack girişine bağlanmış olması gerektiğini, MIC LINE-OUT etiketli ve mikrofon pembe jack girişine bağlı olmalıdır. Sesleri kaydetmek ve oynatmak için Ses Liman kullananan bir C programı yazın. KEY 1 buton bastığında Programınız Ses Port veri okuma ve hafıza saklayın gerektiği zaman kararı kullanıcıya bırakmalı. Kayıt Ses Liman hafızadan yazma örnekleri tarafından oynatılması gerektiği zaman kullanıcının it KEY 2 butonuna basmalı. Kayıt oynatma da kaydırıcı anahtarlar tarafından kontrol edilmelidir 1 0 GB. Kayıt oynatırken anahtarı 1 1 GB zaman, çalma, her diğer örnek atlayın. 0 1 GB Eğer çalma kayıt tekrar ediniz. Aksi takdirde, kaydedilen ses normal oynatılması gerekir. ÇAlışmanın bu bölümü tamamlamak için şu adımları izleyin: 1. Yukarıda açıklanan bir C programı yazın. 2. Altera İzleme Programı başlatın ve yeni bir proje oluşturun. Projeniz için sistemi seçerken, açılan listeden DE-serisi Medya Bilgisayar seçin. 3. DE-serisi karta DE-serisi Medya Bilgisayar indirin ve programı derleyin. 4. Sistemine programı indirin ve çalıştırın. 5. Anahtarları etkisini test edin 1 0 it GB. Bölüm II Echo yaptığımız bir sesin büyük salonlarda veya mağaralarda, etkisi biraz gecikmeli de bize geri döner.çünkü ses bize duvarları sekerek döner ve bu etki oluşur.yansıyan ses biraz zayıflamış olur.bu bölümde mikrofondan sesleri okuyan ve hoparlörlerden sesi geri üreten bir C programı yazın. Ancak, bir yankı etkisini simüle etmek için yine birkaç dakika sonra aynı ses daha düşük ses seviyesinde tekrar edilir..yankı etkisi mikrofon aracılığıyla sağlanan sesin herhangi bir ses (üstüne eklendi) üzerine eklenmiş olmalıdır. Bölüm III Ses tonları da Ses portları kullanılarak oluşturulabilir. Örneğin, bir piyanoda dördüncü oktavda ses frekanslarına sahip: C = 262 Hz C# = 278 Hz D = 292 Hz D# = 310 Hz E = 328 Hz F = 348 Hz F# = 370 Hz G = 392 Hz G# = 416 Hz A = 440 Hz A# = 466 Hz B = 494 Hz Belli bir frekansta bir sinüs dalga formu oluşturmak için zamanla sinüs dalgasına değer vermek gerekir.bu it math.h kütüphaneden yapılabilir. v(t) = A sin(2 π t T ) A sinüs dalgasının genliği, T periyot, ve t süre.böylece, bir sinüs dalga formu v(t) değerleri Deltat aralıklarla oluşturulan Ses portuna, giriş olarak v(t) sağlayarak oluşturulabilir. 50

55 Dördüncü oktav seslerini çalan bir piyano çalma programı oluşturun. Kullanıcılar klavyedeki tuşlara basarak piyanoyu çalabilecek. a,w,s,e,d,f,t,g,y,h,u ve j harflerini sırasıyla her bir ses tonunu temsil edecek şekilde kullanın. Bu tuşlardan herhangi birisine basıldığında programınız ikinci yarısına karşılık gelen tonu çalabilmeli. Aşağıdakileri yapın: 1. Dördüncü oktav sesleri temsil eden on iki tablo ile tones.h adında bir bağlantı dosyası oluşturun. Her çizelge girişli belirli bir dalga çizecek şekilde oluşmalıdır. Her dalga genliği( 2x ) olmalıdır. 2. Programı C dilinde yazın. Programınızın tones.h isimli dosyayı içerdiğinden emin olun.. 3. DE serisi medya bilgisayar için projenizi Alter izleme Programı içerisinde oluşturun. Pencerede derleyici seçenekleri belirtileceği zaman gösterildiğinde, ek derleyici bayrakları denilen alanda bir değişiklik yapın. Şekilde gösterildiği gibi O1 parametresi en yüksek optimizasyon seviyesini kullanmak nedeniyle C derleyicisiyle yapılandırmak için O3 ile değiştirilmeli. Bu değişiklik programınıza klavye tuşlarını yeteri kadar hızlı kullanmak ve birkaç sesi aynı anda çalabilmek için imkan sağlayacak. Şekil 20: Program Details screen in Altera Monitor Program. 4. Programınızı derleyin ve Altera Monitor Programını kullanarak çalıştırın. Hint:Hint: UART kesmelerine ve müzik kesilmeden tuşlara basabilmek için doğrudan UART kullanmaya ihtiyaç duyacaksınız. Tuş vuruşlarını okumak için scanf gibi C fonksiyonlarını kullanmamalısınız. 51

56 Hazırlık Bu laboratuar egzersizleri için önerilen hazırlıklar: 1. Bölüm 1 için C kodu. 2. Bölüm 1 için C kodu. 3. Bölüm 3 için bağlantısı dosyası olan tones.h çağırılmasıyla birlikte her ses tonu için 12 sayı tablosu. Her tabloda dördüncü oktav seslerinden birine karşılık gelen bir dalga formu izleyen eleman olmalıdır. 52

57 Laboratuvar Çalışması 9 Grafik ve Animasyon Bu egzersizin amacı görüntülerin ve animasyonların nasıl gerçekleştirileceğini öğrenmektir.de series Medya Bilgisayar ve Altera DE-serisi Kurulu Video Graphics Array (VGA) Dijital-Analog Dönüştürücü (DAC) kullanılacaktır. Bu laboratuvar DE1, de2, de2-70 ve de2-115 kartları içindir. DE-serisi Media Bilgisayar VGA, DAC ve gösterimini kontrol, çekirdek olarak adlandırılan devrelerin bir dizi ekrandaki görüntülerini kullanır. Bu bir VGA Piksel Tampon ve ekranda ekran görüntüleri oluşturmak için Nios II işlemci tarafından yürütülen programlara izin veren SRAM bellek ve SRAM kontrolörü ile birlikte kullanılan bir VGA denetleyici devre içerir. DE-serisi Media Bilgisayar gerekli kısmı Şekil 1 de gösterilmiştir. Host Computer Altera DE-series Board USB-Blaster interface FPGA chip Nios II processor JTAG debug module JTAG UART interface Avalon switch fabric SRAM Controller SDRAM Controller VGA Pixel Buffer VGA Controller SRAM SDRAM VGA DAC Screen 15-pin VGA cable Şekil 21: Bu örnekte kullanılan DE-serisi Medya Bilgisayar bölümü VGA piksel tampon Nios II işlemci ve VGA denetleyicisi tarafından yürütülen programlar arasında bir arabirimdir. 53

58 Bu SRAM bellekte görüntülenmesi için bir görüntü saklandığı ekranın boyutunu ve konumunu verir. Ekrandaki bir görüntüyü VGA piksel tampon bellek alır ve VGA denetleyicisini gönderir. VGA denetleyicisini ekrana VGA kablosu üzerinden görüntü verisi göndermek için VGA sonra DAC kullanır. Bir görüntü resim elemanları, bir dizi dikdörtgen piksel oluşturur. Her piksel ekranda bir nokta olarak görünür ve Şekil 2 de gösterildiği gibi tüm ekran, 240 satır 320 sütun pikselden oluşur.ekran alanının üst sol köşesindeki ; (0 0) piksel koordinat ile, dikdörtgen bir tablo içinde düzenlenir. x y Şekil 22: Pixel array. Kırmızı, yeşil ve mavi: Her pikselin rengi bu üç ana rengin bir kombinasyonudur.her bir birincil renk yoğunluğunun farklılaştırılmasıyla, başka bir renk oluşturulabilir. Biz bir pikselin rengini temsil etmek için bir 16-bit halfword kullanırız. Bu halfword içinde beş biti en anlamlı ve en az anlamlı kırmızı ve mavi bileşenlerin yoğunluğunu gibi temsil eder, sırasıyla kalan altı biti, yeşil renkli bir bileşenin yoğunluğunu temsil eder.şekil 3a da gösterildiği gibidir.örneğin, kırmızı renk (F 800) 16 değeriyle gösterilir,mor renk(f 81F ) 16 değeriyle gösterilir, beyaz (F F F F ) 16 değeriyle gösterilir ve gri renk de (8410) 16 değeriyle gösterilir red green blue (a) Pixel color y x 0 0 (b) Pixel (x,y) offset Şekil 23: Pixel color and offset. Her bir resim de yer alan pikselin renginin bir karşılık gelen SRAM hafızadaki bir adreste saklanır. Bir piksel adresi bir taban adresi bir arada (x,y) şeklinde bulunur. DE-serisi Media Bilgisayar SRAM bellek başlangıç adresinin bir tampon adresinde ( ) 16 olarak yer alır.(x, y) Çifti olarak Şekil 3b de gösterildiği gibi, 9-bit x 1. bit kordinatı ile başlayan ve 8-bit y 10. bit kordinatı başlayan noktalar birleştirerek hesaplanır Bu hesaplama sol kaydırma operatörü kullanılarak C programlama dilinde yapılır: denkletirme = (x << 1) + (y << 10) Taban adresine denk, her pikselin hafıza konumunu belirlemek için, (y x) ekleyin. Bu şema için şu adresleri ele alalım (0, 0)pikseli için( ) 16, (1, 0) pikseli tabanı + ( ) 16 adresleri elealalım = ( ) 16, 54

59 (0, 1) piksel adresi tabanı + ( ) 16 = ( ) 16, ve piksel konumu (319, 239) piksel adresi tabanı + (0003BE7E) 16 = (0803BE7E) 16. DE-serisi Medya Bilgisayar üzerinde çalışan bir programın görüntülerini görüntülemek için, VGA piksel tampon modülü VGA piksel tampon bilgilere erişmek ve onun işleyişini kontrol etmek için kullanılan bellek eşlemeli yazmaç içerir. Başlangıç adresini ( )16 bulunan bu kayıt birimleri, Şekil 4 te sıralanmıştır. Address x front buffer address Buffer register 0x back buffer address Backbuffer register 0x Y X Resolution register 0x C m n Unused B Unused A S Status register Şekil 24: VGA pixel buffer memory-mapped registers. Buffer ve Backbuffer sayaçlarının bulunduğu bellek konumunda iki tampon görüntü bulunmaktadır. Ön tampon olarak adlandırılan ilk geçici bellek, ekran üzerinde görünür görüntünün depolandığı bir hafızadır Ikinci bir tampon back buffer görüntülenmesi için çağrılan sonraki resim çizmek için kullanılır.başlangıçta, her iki ( ) 16 değeri kaydedicilerde saklanır.bu çalışmadaki bölüm IV bu konuyu ele alacağız. Çözünürlük kaydedicisi piksel açısından ekranın genişliği ve yüksekliği tutar. 16 en az anlamlı bit ekranın yatay çözünürlük verirken,16 enanlamlı bit ekranın dikey çözünürlük vermektedir. Status kaydedicisi VGA piksel tamponu hakkındaki bilgileri tutar. Bölüm I Bu bölümde basit bir çizgi çekme algoritması uygulamayı öğreneceksiniz. (x 1, y 1 ) ve (x 2, y 2 ) gibi iki nokta arasında bir çizgi çizilecek. (1,1) (12,5) Şekil 25: (1, 1) ve (12, 5) Noktaları arasında çizilmiş bir çizgi örneği (1, 1) and (12, 5) noktaları arasında bir çizgi çizmek istiyorsak.kareler renkli olan pikselleri temsil eder. Pikseller kullanarak bir çizgi çizmek için bir çizgi takip edip ve her sütun için en yakın renk piksel hattı buluruz. Ve (12; 5); noktaları (1 1) arasındaki bir hattın oluşturulması için bu şekilde piksel renklerini gölgeleriz Kullanmak istediğimiz gengi belirlemek için cebri kullanabiliriz. Bu uç noktaları ve çizginin eğimi kullanılarak yapılır.hattının eğimi = (y 2 y 1 )/(x 2 x 1 ) = 4/11. Noktasından (1, 1)balayarak biz x ekseni boyunca hareket ederek y hattı için kordinatı hesaplayabiliriz: y = slope (x x 1 ) + y 1 Böylece sütunu için x = 2, pikselin y konumu frac4ise = 1 frac411. x 1 ve x 2 arasında her sütun için bu hesaplamayı gerçekleştirin. X ekseni boyunca hareket yaklaşımı için bir çizgi dik bir dezavantajı vardır. Her sütun için y koordinatını hesaplamak için x ekseni boyunca hat-çizim algoritması hamle hattında boşluklar 55

60 olacak eğer öyleyse dik bir çizgi, daha fazla sütun ve satır kapsamaktadır. Örneğin, dikey bir çizginin tek bir sütununda tüm noktaları vardır, bu nedenle algoritma düzgün çizgi çizmek için başarısız olur. Sorunu çözmek için bir çizgi dik olduğunda y ekseni boyunca taşımak için algoritmayı değiştirebilirsiniz.bu değişiklik ile, Bresenham algoritması olarak bilinen bir çizgi-çizim algoritması uygulayabilirsiniz.algoritması için Sözde-kodu Şekil 6 da gösterilmektedir 1 draw_line(x0, x1, y0, y1) 2 boolean is_steep = abs(y1 - y0) > abs(x1 - x0) 3 if is_steep then 4 swap(x0, y0) 5 swap(x1, y1) 6 if x0 > x1 then 7 swap(x0, x1) 8 swap(y0, y1) 9 int deltax = x1 - x0 10 int deltay = abs(y1 - y0) 11 float error = 0 12 float slope = deltay / deltax 13 int y_step 14 int y = y0 15 if y0 < y1 then y_step = 1 else y_step = for x from x0 to x1 17 if is_steep then draw_pixel(y,x) else draw_pixel(x,y) 18 error = error + slope 19 if error >= 0.5 then 20 y = y + y_step 21 error = error Şekil 26: Bir çizgi çekme algoritması için pseudo-code. Bu algoritma doğrultusunda her pikselin konumu hesaplamak için kayan nokta işlemleri kullanır. Kayan nokta işlemi genellikle tam sayı işlemleri gerçekleştirmek için çok daha yavaş olduğundan, bu algoritmanın en uygulamaları tam sayı işlemleri kullanabilmesi için değiştirilir Bu algoritma kullanarak ekranda bir kaç çizgi çizen bir C-dili program yazın. Yalnızca tam sayı işlemleri kullanarak algoritma optimize etmeye çalışırlar 1. Çizgi algoritması uygulayan bir C-dili program yazın. 2. Altera İzleme Programı kullanarak DE-serisi Medya Bilgisayar için yeni bir proje oluşturun.. 3. DE-serisi karta DE-serisi Medya Bilgisayar indirin. 4. DE-serisi kartı ve monitörü VGA bağlantı için bir 15-pin VGA kablosu bağlayın. VGA kablosu iki şekilde ekrana bağlanabilir.ekran çoklu VGA giriş portu varsa, kullanılmayan bir portla VGA kablosu bağlayabilirsiniz.daha sonra, ekrandaki düğmeleri kullanarak ilgili port için video kaynağını değiştirin.ekranda sadece tek bir VGA bağlantı noktası varsa, bir KVM (Klavye-Video-Mouse) switch gereklidir. KVM switch kullanarak, tek bir ekrandan birden fazla video kaynağı bağlayabilirsiniz.bu cihaz video kaynağı olarak görüneceği için seçmenize izin verecek 5. Programınızı derleyin ve çalıştırın 56

61 Bölüm II Animasyon grafik bilgisayarın heyecan verici bir parçasıdır. Görüntülenen bir nesneyi taşımak ekranda farklı yerlerde aynı nesneyi göstermenin yarattığı bir yanılsamadır. Ekrandaki bir nesneyi taşımak için ilk önce bir pozisyonda başında görüntüler ve daha sonra da başka bir yerde görüntülemek gerekir. Bunu başarmak için bir pozisyonda bir nesne çizin ve sonra onu silin ve daha başka bir pozisyonunda tekrar çizin Bunu gerçekleştirmek için belirli zaman aralıklarında görüntününn silinip başka nonumlarda çizilmesi gerekir. Bunun içinde belirli bir zaman kontrölü gerekir. Her saniyade ekranda görüntü sağlamak için bir döngü oluşturmak gerekir.vga denetleyicisinin yeniden çizmek döngüsü ile DE-serisi Medya Bilgisayar üzerinde çalıştırmak bir program eşitlemek için VGA piksel tampon kullanın Ekranın üst ve alt kenarlarını dikey ekran boyunca yatay hareket edebilecek bir C dili programı yazınız.programınız ilk siyah renkli tüm pikseller ayarlayarak, ekranı temizleyecek ve sonra tekrar tekrar çizecek ve (siyah renk kullanarak aynı çizgi çizin) her yeniden çizilecek döngü sırasında çizgileri silmek gerekir.ekranın alt hattı üzerine ulaştığında tersi yönünde yol alacaktır Bölüm III Nesneleri döndürme animasyonun başka ilginç bir parçasıdır. Bir nesneyi döndürmek için döndürülmüş bir nesnenin görüntülerinin bir yolu önceden hesaplamak, depolamak, ve daha sonra bunları ekranda göstermektir. Bu yöntem, bir nesnenin ekran zamanından daha hızlı oluşturulmasına izin verir, ama çok fazla bellek gerektirir ve bu nedenle, modern uygulamaları için pratik değildir. Bir nesne döndürmenin için bir başka yolu, gerçek zamanlı olarak da her nokta için yeni bir konum hesaplamak gerekir. y (x, y ) r α β r (x, y) x Şekil 27: Eksen etrafında dönme merkezi bir örneği. Bir nokta (x;; y) döndürmek için bir açı ile bir eksen etrafında (y0 x 0) konumu hesaplamalı. Bu, Şekil 7 de gösterilmiştir.x noktası ekseninden saat yönünün tersine bir açıyla uzak kökenli bir mesafe vardır.dönme nokta kaynaktan sonra gelen bir mesafe kalır ve r olacaktır bir açı alarak; trigonometri kullanarak (x0 y0) yerini hesaplayabilir. X0 için işlemi kolaylaştırabilirsiniz y için : r = y sinβ = x cosβ, x = r cos(α + β), and y = r sin(α + β) x = r (cosαcosβ sinαsinβ) = x cosβ (cosαcosβ) y sinβ (sinαsinβ) = x cosα y sinα y = x sinα + y cosα 57

62 Bu denklemlerin kökleri çevresinde bir noktaya döndürün.ekran koordinat sisteminde orijin sol üst köşesinde olduğundan, her noktasının konumunu temsil etmek için ekranın merkezinde kökleri bir kartezyen koordinat sistemi oluşturun. Sonra ekrana kartezyen koordinat sistemi noktasının konumunu eşler ekranda noktaya çekin. Bunu yapmak için pikselde (160, 120) yerleştirin, bir birim olarak ekrandaki her 20 piksel y ekseni noktasını yukarı doğru olarak görün. (Xpixel; ypixel) yerde ekrana; herhangi bir noktada (yw xw) eşleştirmek için, denklemleri kullanın x pixel = x w 20 y pixel = 120 y w 20 Ekranın merkezi etrafında uzunluğu beş satır döndüren bir C-dil programı yazın. Her yeni döngü sırasında, programın tersine iki derece hattı dönmelidir.şunları yapın: 1. Bir satır döndürmek için bir C-dil programı yazın. sin ve cos fonksiyonlarını kullanabilmek için kodunuzda math.h kütüphanesi içerdiğinden emin olun. 2. Altera İzleme Programı yeni bir proje oluşturun. Programınızı derlerken matematik kütüphanesi dahil Şekil 8 de gösterildiği gibi ek bağlayıcı Flags alanına--lm bayrağı ekleyin. Şekil 28: Programınızda matematik kütüphanesini eklemek için bağlayıcı talimat bayrak-lm ekleme. 3. Programınızı karta yükleyin,derleyin ve çalıştırın. 58

63 Bölüm IV Şimdiye kadar, 2B nesneleri ve animasyonları nasıl görüntüleyeceğimizi konuştuk. Ancak, çevremizdeki nesnelerin genişlik, yükseklik ve ek olarak derinliğe sahip doğal 3D olduğunu. Ayrıca, bir nesne yakınken onu uzakta olduğunda daha büyük görünür. Biz perspektif projeksiyonu kullanarak bir bilgisayar ekranında bu etkileri taklit edebiliriz. Fikri Şekil 9 içerisinde gösterilmiştir. 2D üzerine bir 3D nesne yansıtmak için, nesne ve gözlemci üzerindeki her nokta arasında noktalı bir çizgi çizin.çizgi projeksiyon düzlemini geçtiği hangi konumu gözlemci noktası olarak algılayacağı konumdur. Object Projection plane (screen) Observer Şekil 29: Visualization of the perspective projection. 3D dünyasından bir nokta (z;; y x) ekranda nokta görülecektir ; ekranına z ekseni noktaları, (ys xs) noktasının konumunu hesaplamak gerekir.sorun Şekil 10 de gösterilmiştir Point (x,y,z) Projection plane (screen) y Point (xs,ys) z d Observer Şekil 30: Visualization of the perspective projection. (; y; x z) Noktasının konumu göz önüne alındığında; geometriden benzer üçgenler kavramını kullanarak ve gözlemci ekranından bir mesafe (ys xs) noktasının koordinatları hesaplanır. Biz y s koordinat hesaplayacağız: : y = y s z + d d y s = y d z + d 59

64 For x s we have: x s = x d z + d Bölüm 3 deki programı iz düşüm görüntüsünü içerecek şekilde güncelleyin. Programda, her yenileme döngüsünde en az iki derece z ekseni (bölüm III ile aynı dönme denklemleri) dönmelidir.. Ayrıca,çizgilerin iki düzlem arasında sıçraması gerekir z = 100 ve z = 5 gibi.gözlemci konumunu ekrandan 20 birim olarak ayarlayın.programınızı derleyin ve çalıştırın. Bölüm V 3D kökenli Nesneleri çevresinde döndürme sadece marjinal yararlıdır. Pratik uygulamalarda her yerde nesnelerin hareket ve yönünü değiştirebiliriz, her nesne için bir yerel koordinat sistemi tanıtmak gerekir, nesneleri yerinde döndürmek için izin vermeniz gerekir. To do this we need Nesne bulunduğu yere ortalanan hariç yerel koordinat sistemi, dünya koordinat sistemi ile aynıdır. Bu düşünce Şekil 11 de gösterilmiştir. Local y z World y x z local coordinate system offset x Şekil 31: Yerel ve dünyanın koordinat sistemi arasındaki ilişki. Şekilde küp yerel koordinat sistemi, 3D normal kordinat sistemine biraz uzakta merkezli.küp yönde herhangi bir değişiklik yerel koordinat sistemi içinde bir dönme tarafından gerçekleştirilebilir,normal hareketlerinin yerel kordinat sistemi hareketinde gerçekleşir.nesnenin her noktasının konumu dolayısıyla 3D dünyanın kökenli yerel koordinat sisteminin yerinden toplamı ve yerel koordinat sisteminde noktanın konumudur. Bu bölümde bir tel çerçeve nesne (çizgilerden oluşan nesne) çizecek ve ekranda animasyon için bir C-dil programı yazmak zorundasınız. Nesne göstermek için Şekil 12 de t 3 boyutlu tel kafes nesne ve t 3D nokta veri yapıları kullanın T 3 boyutlu tel kafes nesne yapısını bir köken alan oluşur bu 3D dünya kökenli açısından, yerel koordinat sistemi konumunu belirtir.noktalarının dizi nesnesi temsil noktalarını tanımlayan ve sayıları num puan alan tarafından belirlenir. num_line_segments nesne hattı segmentlerini 2 num_line_segments dizi elemanları içerir. Altıgen bir tabanı olan bir koni şeklinde bir tel çerçeve nesne döndüren bir C-dil programı yazın. Nesne kendi yerel koordinat sisteminde eksenleri etrafında dönmelidir. Dönme yönü DE-serisi kartıı SW 2 0 anahtarlar tarafın- 60

65 typedef struct s_3d_point { float x,y,z; } t_3d_point; typedef struct s_3d_wireframe_object { t_3d_point origin; t_3d_point *points; int num_points; int *line_segments; int num_line_segments; } t_3d_wireframe_object; Şekil 32: Wire-frame object data structures. dan belirlenecektir.switch SW2 AÇIK olduğunda, nesne, x ekseni etrafında dönmelidir.sw1 nesne ON iken y ekseni etrafında dönmelidir ve SW0 nesne ON olduğunda z ekseni etrafında dönmelidir.eksenlerinin her biri ilgili dönme denklemleri Şekil 13 de verilmiştir Rotation about the x axis: x = x y = y cosα z sinα z = y sinα + z cosα Rotation about the y axis: x = x cosα + z sinα y = y z = z cosα x sinα Rotation about the z axis: x = x cosα y sinα y = x sinα + y cosα z = z Şekil 33: Rotation equations in 3D Bölüm VI Bölüm V için yazılmış program titreşmiş veya görünmüş olabilir.konisi tamamlanmamış bir resim çizin. Bir bilgisayar ekranında yeni bir imaj oluşturmak çok fazla zaman alır. Bu kötü görünüyor ve bilgisayar ortamında pek de hoş olmaz. Double buffering denilen yaygın olarak kullanılan teknik bu sorunu çözebilir. Çift tamponlama ekranda bir görüntü oluşturmak için sadece bir yerine, iki tampon kullanır.tamponlar biri ekranda görünür ve diğeri gizlenir.görünür tampon ön tampon olarak adlandırılır ve gizli tampon arka tampon olarak adlandırılır. Biz arka tamponunda bir görüntü çizen iki Tampon kullanan bir animasyon oluşturmak için.görüntü hazır ve VGA olduğunda denetleyici hakkında ekranda yeni bir imaj çizmek için ön ve arka tamponlar takas edilir. DE-serisi Medya Bilgisayar VGA piksel tampon double buffering destekler. Bellekte tamponların konumu şekil de gösterilen Buffer ve Backbuffer kaydedicilerde tutulur.başlangıçta iki tamponların konumu aynı olduğundan, tek bir tamponu kullanılmış olur. Double buffering etkinleştirmek için, biz elle kayıt backbuffer ( ) 16 ayarlayarak ön ve arka tamponlar ayırmamız gerekiyor. Bu ön tampon için kullanılmak üzere DE-serisi Media Bilgisayar SRAM bellek yarısı ve arka tampon için diğer yarısı olacaktır. Iki tampon elde ettikten sonra, arka tampon görüntüyü göstermek için ne yapılmalıdır. Bu durum swapları tamponlar Şekil 14, içerisinde fonksiyonu ile gerçekleştirilir.. 61

66 volatile int *vga_pixel_buffer_buffer_reg = (int *) 0x ; volatile int *vga_pixel_buffer_status_reg = (int *) 0x C; volatile int *vga_screen_front_buffer = (int *) 0x ; volatile int *vga_screen_back_buffer = (int *) 0x ; void swap_buffers() { register int status; // Display the back buffer *vga_pixel_buffer_buffer_reg = 1; // Swap the addresses for the back and front buffers register int *temp = vga_screen_front_buffer; vga_screen_front_buffer = vga_screen_back_buffer; vga_screen_back_buffer = temp; } // Wait until the buffer swap is completed by the VGA pixel buffer. status = *vga_pixel_buffer_status_reg; while( (status & 0x01)!= 0 ) { status = *vga_pixel_buffer_buffer_swap_status_reg; } Şekil 34: Swapping front and back buffers. Fonksiyonu çalıştırıldığında, arka tampon içinde görüntü görüntülenir, ön ve arka tampon takas edilecektir. Bu durum, ekran üzerinde görüntülenen görüntü bozmadan arka tampon içinde bir başka resim çizmek için olanak sağlar Her iki belleği kullanmak için Bölüm V C-dil programı güncelleyin. Sizin programda sadece arka tampon nesneleri çekilmeli ve her tampon takas edilmeli sonra arka tampon silinmeli. Preparation Bu bölüm için istenen C kodlarını Bölüm altı içerir. 62

67 Laboratuvar Çalışması 10 Bus Communication Bu egzersiz Altera nın DE1, DE2 ve DE2-115 kartları içindir.. Bu egzersizin amacı bus(yol) kullanılarak iletişimin nasıl sağlanacağını öğrenmektir. Altera nın SOPC Builder kullanılarak üretilen tasarımlarında, Nios II işlemci Avalon Anahtar Yapı vasıtasıyla çevresel cihazlara bağlanmıştır. Cihaza anahtar yapısı ile bağlanmak için bir SOPC Builder bileşeni gereklidir.özel cihaz bileşeni kullanmak yerine, daha genel Avalon harici yol köprüsünün sağladığı bir veriyolu arayüzü gibi, bir veya daha fazla bağımlı çevre birimleri kullanılır. Köprü tasarımcının oluşturduğu çevre-aygıt arayüzü, veri yolu ve Nios II sistemine bağlanmasına olanak verir. Şekil 1 bus sinyalleri ve 16-bit veri genişliği kullanılan dış veri yolu için zamanlama bilgilerini gösterir. Genel olarak, veri yolu genişlikleri 8,16,32,64 veya 128 bit kullanmak üzere yapılandırılmıştır. Nios II System Avalon to External Bus Bridge Address BusEnable R/W ByteEnable WriteData IRQ Acknowledge ReadData k Slave Peripheral (a) External Bus Signals Clock Address k 1 0 BusEnable R/W Write Address Read Address ByteEnable 1 0 WriteData 15 0 Write Data Acknowledge ReadData 15 0 Read Data (b) External Bus Timing Diagram Şekil 35: 16-bit veri genişliği için Harici Veri Yolu. 63

68 Gerekli sinyalleri şunlardır: Adres: k bit (32 bite kadar): Tranfer edilmiş verinin adresi. Adres verinin boyutuyla(size) uyumludur. 32 bit veri için adres biti 1-0 olup 0 a eşittir. Bayt-aktif (byte-enable) sinyalleri en az 4 biti aktarmak için kullanılır.. BusEnable 1 bit. Diğer tüm sinyallerin geçerli olduğunu ve bir veri transferi oluşması gerektiğini belirtir. RW 1 bit.veri tranferinde okumanın(1) yazmanın (0) olduğunu gösterir. ByteEnable 16, 8, 4, 2 veya 1 bit olabilir. Her bitin verilen bite(r-w) göre erişimin olup olmadığını gösterir. Bu sinyallerin aktif olma zamanı yüksektir.(aktifdir.) WriteData 128, 64, 32, 1 veya 8 bit olabilir. Veriler, bir yazma aktarımı sırasında çevresel aygıta yazılır. Acknowledge 1 bit.veri aktarımının tamamlanmış veya tamamlanmamış olduğunu belirtmek için çevresel aygıt tarafından kullanılır. ReadData 128, 64, 32, 16 veya 8 bit olabilir. Veri çevresel aygıtın okuma transferi sırasında okunur. IRQ 1 bit.1 bittir. Çevresel aygıt tarafından Nios II işlemcide kesme yapmak için kullanılır. İsteğe bağlıdır. Şekilde gösterilmemiştir. Çevresel aygıt tüm veri yolu sinyalleri saatin yükselen kenarında okumak zorundadır. Transfer Adresi, RW, Byte- Enable ve muhtemelen WriteData sinyalleri uygun değerler ile ilklenir. Sonra BusEnable biti 1 e ayarlanır. RW sinyali 1 ise, Oku işlemidir ve çevresel aygıt uygun değerlere read data sinyalleri ayarlamanız ve Acknowledge 1 e ayarlamanız gerekir. Acknowledge sinyal sadece bir saat çevrimi için 1 kalmalıdır. Acknowledge sinyalleri başlatılırken readdata sinyalleri sabit olmalıdır. Sinyal Acknowledge nedeni tam olarak bir saat çevrimi için yüksek olması gerektiğini unutmayın, bu sinyali iki veya daha fazla döngü yayılan eğer başka bir işlem karşılık olarak Avalon Anahtar Yapı tarafından yorumlanabilir olmasıdır. RW sinyali 0 ise, transferi Yazma işlemi ve çevresel aygıt WriteData hatlarındaki uygun konuma değeri yazılmalıdır. Çevresel aygıt Yaz aktarım tamamlandıktan sonra, bir saat döngüsü için Acknowledge sinyallerini ortaya koyar. Bölüm I Şekil 2 bize tasarlamak ve uygulamak istediğimiz sistemi gösterir. Sistemin bu partında Nios II / s işlemci, JTAG UART, çip üzerinde bellek bloğu ve bir Avalon oluşan sistemin parçası Harici Veri Yolu Bridge için bir SOPC Builder kullanılarak üretilir.tasarlayacağınız bağımlı çevre birimi bir Verilog / VHDL modülü olacaktır. Sadece dört 16-bit kayıt artı DE serisi kartı üzerindeki 7-segment ekranlarda bu kayıtların içeriğini görüntülemek için gerekli bazı devresi oluşur.kayıt Nios II işlemci bunları içine veri yazmak, böylece bellek yerleri gibi erişilebilir. 64

69 Host computer Reset_n Clock USB-Blaster interface Nios II processor JTAG Debug module JTAG UART interface Cyclone II FPGA chip Avalon switch fabric On-chip memory Avalon to External Bus Bridge External Bus Slave Peripheral Şekil 36: İstenen Nios II sistemi Geliştirilmesi istenen çevresel birim, Verilog/VHDL içinde tanılı 3 modül ile sağlanır. Bu modüllerin bir full sağlar.(*?:s) Diğer ikisi iskelet formudur ve verilen egzersizi tamamlarsınız. Bu modüller: Lab10 Part1 (provided in full) Peripheral on External Bus (skeleton is provided) Seven Segment Display (skeleton is provided) Bu modüller için Verilog / VHDL kodu Altera Üniversitesi Programı sitesinde sağlanmaktadır: labs.html Lab10 Part1 modülünü inceleyin ve üç diğer modüller örneğini görmekteyiz. SOPC kullanacaksız. Dış yön sinyallerini bağlamak için Periferik on External Bus modülü değiştirmek zorundasınız. Ayrıca, bu modül dört 16-bit 65

70 kayıt belirtmek zorundadır. Bu kayıtların herbiri SOPC Builder tarafından Dış Otobüs Köprüsü sf Avalon atanan adres alanının dörtte biri aktarılması gerekir.bu kayıtların içeriğini görüntülemek için 7-segment görüntüler it HEX 3 0 kullanın.(ipucu: Bu modülde Yedi Segment Display 7-segment bit kalıpları halinde dört-bit onaltılık sayı dönüştürmek için ayrı bir modül kullanır.) Sadece bir kayıt 7-segment ekranlarda bir anda görüntülenebilir yana gösterilecek kayıt seçmek için it GB 0 ve 1 it GB, iki geçiş anahtarları kullanın. Bu modüller, SOPC Builder tarafından oluşturulan modülleri ilave olarak, Şekilde istenen sistemi üretmek gerekir ref şek: sistemi. Aşağıdaki gibi istenen sisteminin uygulanması: 1. Lab10 Part1 adında yeni bir Quartus II projesi oluşturun. Kullanılabilir aygıtlar listesinden, sizin DE-serisi kurulu için uygun aygıt adı seçin Tablo listelenen ref tab: cihaz. Bu laboratuar belge onlar için geçerli değil çünkü DE0 ve de2-70 kartları listelenen unutmayın. Board DE1 DE2 DE2-115 Device Name Cyclone II EP2C20F484C7 Cyclone II EP2C35F672C6 Cyclone IVE EP4CE115F29C7 Tablo 4: DE-serisi FPGA aygıt isimleri 2. Nios system Adlı bir sistem oluşturmak için SOPC Builder kullanın aşağıdaki bileşenleri içerir: JTAG Debug Modül Seviye 1 ile Nios II / s işlemci - Donanım Çarp ve Donanım Divide seçenekleri seçmezseniz On-chip bellek - RAM modu, 32-bit veri genişliği, ve 8 Kbyte boyutunu seçin JTAG UART - bileşen kütüphanesi sf Arayüz Protokolleri > Seri bulunan; varsayılan ayarları kullanabilirsiniz Dış Yön Köprüsü Avalon - sf Üniversitesi Programı > Köprüler bileşenleri kitaplığında bulunan, 16-bit veri genişliği ve adres aralığının 512 Kbyte DE1 ve de2 panoları için, ya da 2 Mbyte seçim için de2-115 yönetim kurulu. Bu parametreler, bu egzersizin Bölüm II SRAM yongasında, bağlantı basitleştirmek için seçilir. Not: 512 Kbyte adres aralığı seçimi k = 19 adres hatları otobüs uygulanacak anlamına gelir. DE2-115 yönetim kurulu için 2 Mbyte adres aralığı k = 21 adres hatları otobüs uygulanacak anlamına gelir. 3. Nios II Harici Yön Köprüsü Avalon bağlayın data master liman değil, talimat master noktasına. SOPC Builder penceresinde bağlantı yolu tıklayarak köprü ve talimat ana liman arasındaki bağlantıyı kaldırabilirsiniz. 4. Sf Sistemi menüsünden, sf Auto-Assign IRQ izledi sf Auto-Ata Temel Adresleri seçin. Bu görüntülenebilir adres atama hakkında herhangi bir hata iletileri kaldıracaktır. Şimdi sistem Şekil de gösterildiği sahip olmalıdır 37 (note that the Device Family alanı görüntülemek gerekir Cyclone IV if a DE2-115 board is being used). Bu egzersiz için verilen modülleri tasarımında kullanılan adları için rakam (i.e. clk, cpu, onchip_memory, jtag_uart, and external_bus_bridge)gösterilen isimleri bileşenleri yeniden adlandırın. 5. Edit the cpuayarını böylece reset vektörü ve istisna vektör kullanımı onchip_memory. Şekil gösterildiği gibi cpu artık belirtilmelidir Sistemi oluşturun, SOPC Builder çıkın ve Quartus II yazılımına dönün. 7. Oluşturulan Nios II sistemi verilen Verilog / VHDL modül içinde doğru örneği olduğunu kontrol edin Lab10_Part1. 8. Lütfen Quartus II projesi için yukarıda belirtilen üç Verilog / VHDL modülleri ekleyin. 9. DE-serisi kartı için pin atamaları dosyasını import edin. Belli bir DE-serisi panosu için dosya Altera nın DE-serisi web sayfalarında bulunabilir. 66

71 10. Quartus II Projesini derleyin. 11. Her 4 kaydedici içine 16 bit farklı numara yazmak için bir Nios II assembly dili ile program oluşturunuz. 12. DE-serisi kartı içinde üretilmiş sistemi indirmek için Altera Monitor Programı kullanın Sonra, Programınızı derleyin ve çalıştırın. Register SW 1 0. geçiş anahtarları kullanarak görüntü için seçilebilir Şekil 37: SOPC Builder belirtilen Nios II sistemi. 67

72 Şekil 38: SOPC Builder belirtilen Nios II işlemci. Bölüm II Bu bölümde farklı bağımlı çevre birimini geliştireceğiz. Çevre birimi, DE-serisi kartı üzerindeki SRAM yongasında, erişim sağlayan bir denetleyici olarak hizmet etmektir- SRAM yongası Avalon Switch Fabric e bağlanır.. SRAM yongasında, şu sinyalleri kullanır: SRAM_ADDR bitdir(giriş).de1 ve DE2 kartı kullanılıyorsa, ardesin 16 biti veri kelimesidir. - OR - SRAM_ADDR bitdir(giriş).de2-115 kartı kullanılıyorsa,adresin 16 biti veri kelimesidir. SRAM_CE_N 1 bittir.(giriş) Diğer sinyanllerin uygun olup olmadığına bakar. SRAM_WE_N 1 bit,yazma işleminde veri yolu transferinin olup olamdığına bakar (Write Enable) SRAM_OE_N 1 bit, Okuma işleminde veri yolu transferinin olup olamdığına bakar. (Output/Read Enable) SRAM_UB_N 1 bit, Üst (yükselen) bitin okuma bitimi yoksa yazma biti mi olup olmadığına bakar.. (Upper Byte Enable) SRAM_LB_N 1 bit,akt (azalan) bitin okuma bitimi yoksa yazma biti mi olup olmadığına bakar. (Lower Byte Enable) 68

73 SRAM_DQ bit çift yönlü. Bu hatlar aktarılan verileri taşırlar. Onlar okuma işlemleri sırasında SRAM yongasında tarafından ve yazma işlemleri sırasında denetleyici tarafından denetlenmektedir Şekil 5 de SRAM sinyalleri için zamanlama göstermektedir. Not:SRAM yongası, bir döngü içinde veri transferi tamamlar. Ayrıca, tüm kontrol sinyalleri aktif düşük olduğuna dikkat edin. SRAM Write Data sinyali eşdeğer bir SRAM DQ iç sinyalidir ama sadece transfer yazma kullanılır. Bu sinyali yazılmış verileri ayarlanmış bir yazma aktarım sırasında hariç, yüksek empedans olarak ayarlanması gerekir. Clock SRAM _ADDR 17 0 SRAM _CE_N SRAM _O E_N SRAM _W E_N SRAM _U B_N SRAM _LB_N SRAM _W rite_d ata 15 0 SRAM _D Q 15 0 Şekil 39: The SRAM signals timing diagram SRAM yongasında, bir 50 MHz saat döngüsü içinde bir 16-bit değerini okuyabilir ve yazabilir, SRAM yongasında, tüm sinyaller sadece her transfer için o bir saat döngüsü için ileri sürülebilir. SRAM denetleyicisi Şekil 1 de 35 çevre birimi bağımlı olarak hareket edecektir. Aşağıdaki adımları uygulayın: 1. Lab10_Part2 adında bir porje oluşturun.. SOPC Builder projesindeki aynı yarları kullanın. Şekil e göre Nios II sistemini üretin. 2. İstenen denetleyicisi üretmek için projenize üretilen sistemi ilklendirir. İki Verilog / VHDL modüller, Lab10 Part2 ve SRAM Kontrolör sağlanmaktadır. 3. Pin atamalarını import edin ve projenizi derleyin. 4. SRAM yongasında, bazı örnek veri yazmak ve sonra işlemci yazmaçları geri bu verileri okumak için bir Nios II assembly-dil programını yazın. 5. Altera Monitor Programı ile üretilmiş sistemi indirin ve derleyin sonra programı açın. 6. Tasarım doğruluğunu doğrulamak için programı çalıştırın.ayrıca Altera İzleme Programı hafıza penceresini kullanarak SRAM denetleyicisi test edebilirsiniz. 69

74 Bölüm III Bu bölümdeki amacımız Bölüm I ve II tasarımları birleştirmek olacak. Harici Yön kullanmak ve iki bağlayıcı çevre birimlerini bağlamak istiyoruz. Periferal Bir SRAM denetleyicisi ve diğer periferal I. gelen görüntü ile ilişkili devresi ile dört kayıt olacaktır Perform the following steps: 1. Lab10_Part3 Adında yeni bir proje oluşturma. 2. Şekil 37 sistemi oluşturmak için SOPC Builder kullanın, ancak Harici Bus Avalon de2-115 pano için DE1 ve de2 panoları, ya da 4 Mbyte için 1024 Kbyte adres aralığı, seçim Köprü bileşeni kullanın Note that this will change the base addresses that the SOPC Builder will auto-assign to the components of the system. 3. Nios II sistemi başlatır bir Verilog / VHDL dosya hazırlayın ve öyle ki iki bağlayıcı çevre uygular SRAM denetleyici DE1 ve de2 levhalar için 1024-Kbyte adres alanının 512 Kbyte alt sıra kullanır - Ve Ya - SRAM denetleyici de2-115 kartı için 4 Mbyte adresi düşük mertebeden 2 Mbyte kullanır Dört kayıt kalan adres alanı kullanabilirsiniz 4. Buna göre Bölüm I ve II de kullanılan diğer Verilog / VHDL dosyaları değiştirin. 5. Projenizi derleyin. 6. SRAM yongasında, bazı örnek veri yazıyor ve sonra periferik bağlayıcı dört kayıtlarından bu verileri okuyan bir Nios II montaj-dil programı yazın. 7. Oluşturulan sistem yükleyin ve sonra programı derleyin ve çalıştırın. 8. Örnek verileri doğru 7-segment görüntülerin olduğunu göstermek için programı çalıştırın. 70

75 Laboratuvar Çalışması 11 Çoklu İşlemciler Ve Çekişme yönü Bu egzersiz Altera nın DE1, de2 ve de2-115 kartalrı içindir İki işlemci bir sisteme dahil edildiğinde Çalışmanın amacı Çekişme yönü ve kullanım kavramı incelemektir. Çalışmalar doğal olarak labaratuar 10 daki gibidir fakat aynı zamanda bağımsız olarak da yapılabilir. Altera nın SOPC Builder kullanıcısını periferik çeşitli cihazlara bağlayabilirsiniz bir yön benzeri bir yapı uygulamak için Dış yön Köprüsü bileşeni Avalon kullanabilirsiniz Köprü tasarımcısı Quartus II yazılımı bir Nios II sistemine bir çevresel aygıta bağlanmasını sağlar. Bu bir veya daha fazla "ikincil" çevre birimleri bağlanabilir bir yön arayüz sağlar. Şekil 1 yön sinyalleri ve 16-bit veri genişliği kullanılan dış veri yolu için zamanlama bilgi gösterir. Genel olarak, yön veri 8 genişlikleri, 16, 32, 64 veya 128 bit kullanmak üzere yapılandırılabilir Nios II System Avalon to External Bus Bridge Address BusEnable R/W ByteEnable WriteData IRQ Acknowledge ReadData k Slave Peripheral (a) External Bus Signals Clock Address k 1 0 BusEnable R/W Write Address Read Address ByteEnable 1 0 WriteData 15 0 Write Data Acknowledge ReadData 15 0 Read Data (b) External Bus Timing Diagram Şekil 40: Dış Yön Köprüsü sinyalleri Avalon. 71

76 The required signals are: Adres - k bit (32). Aktarılacak olan veri adresi.adres veri boyutu hizalanır. 32-bit veri, adres bitleri Adres 1 00 eşittir.byte-enable sinyalleri az 4 byte aktarmak için kullanılabilir BusEnable 1 bit. Diğer tüm sinyalleri geçerli olduğu ve bir veri transferi oluşması gerektiğini belirtir. RW 1 bit.veri transferi, bir okuyun (1) ya da Write (0) işlem olup olmadığını gösterir ByteEnable - 16, 8, 4, 2 ya da 1 bit. Her bir bit karşılık gelen bayt (okuma veya yazma) erişilmelidir olup olmadığını gösterir. Bu sinyaller aktif yüksektir. WriteData 128, 64, 32, 16 ya da 8 bit.veriler, bir yazma aktarımı sırasında çevresel aygıt yazılması. Acknowledge 1 bit., Veri aktarımı tamamlanmış belirtmek için harici bir aygıt tarafından kullanılır. ReadData 128, 64, 32, 16 ya da 8 bit.bir Oku aktarım sırasında çevresel aygıt veri okur. IRQ 1 bit. Nios II işlemci durdurmak için harici bir aygıt tarafından kullanılır. Bu şekilde gösterildiği gibi, isteğe bağlı sinyal vardır Çevresel aygıt tüm yön sinyalleri saatin yükselen kenarında okumak gerekir. Bir transfer ByteEnable ve muhtemelen WriteData sinyalleri RW başlatmak için adres uygun değerlere ayarlanır. Sonra, BusEnable sinyal 1 olarak ayarlanır. W sinyali 1 ise, o zaman transferi Oku işlemi gerçekleşir ve çevresel aygıt uygun değerlere readdata sinyalleri ayarlayarak Acknowledge sinyali 1 olarak ayarlamanız gerekir. Acknowledge sinyal sadece bir saat çevrimi için 1 kalmalıdır.acknowledge sinyal iddia edilirken readdata sinyalleri sabit olmalı.sinyal Acknowledge nedeni tam olarak bir saat çevrimi için yüksek olması gerektiğini unutmayın, bu sinyali iki veya daha fazla döngü yayılan başka bir işleme karşılık olarak Avalon Anahtar Yapı tarafından yorumlanabilir olmasıdır RW sinyali 0 ise, transferi Yazma işlemi ve çevresel aygıt uygun konuma WriteData hatlarında değeri yazmak gerekir.çevresel aygıt Yaz aktarım tamamlandıktan sonra, bir saat döngüsü için Acknowledge sinyal gerekir. Bölüm I Bu bölümünde, bir Nios II işlemci DE-serisi kartına SRAM yongası bağlamak istiyoruz. Şekil 2 tasarlamak ve uygulamak istediğiniz sistemi gösterir Dış Yön Köprüsü için bir Nios II işlemci, JTAG UART, çip üzerinde bellek bloğu ve bir Avalon oluşan sistemin parçası SOPC Builder kullanılarak oluşturulabilir.sram yongasında tasarlanacak bir SRAM Kontrolörü Verilog / VHDL modül oluşturarak, periferik olarak eklenecektir. Dış Yön Köprüsü Avalon Nios II sistemi Avalon Anahtar Yapı için daha önce tartışılan dış veriyolu bağlanır.yapı anahtarı SOPC Builder tarafından oluşturulan bir sistem içerisinde çevre birimleri için ana ara bağlantı ağdır. 72

77 Host computer Reset_n Clock USB-Blaster interface Nios II processor JTAG Debug module JTAG UART interface Cyclone II FPGA chip Avalon switch fabric On-chip memory Avalon to External Bus Bridge External Bus SRAM Controller SRAM chip Şekil 41: İstenen Nios II sistemi SRAM yongasında bir 256K def a 16 bit bellek olarak düzenlenmiştir. Her 16-bit kelime bir 18-bit adres belirterek erişilir. Bireysel byte (üst byte) UB ve LB (düşük baytı) kontrol sinyalleri belirterek erişilir. SRAM yongasında, şu sinyalleri kullanır: SRAM_ADDR bit, giriş. DE1 veya de2 kartı kullanılıyorsa, bir 16-bit veri kelime Adres. - OR - SRAM_ADDR bit, giriş.de2-115 kartı kullanılıyorsa, bir 16-bit veri kelime Adres. SRAM_CE_N 1 bit,giriş. Diğer tüm sinyalleri geçerli olduğunu gösterir. (Chip Enable) SRAM_WE_N 1 bit,giriş.yön transferi bir yazma işlemi olduğunu belirtir. (Write Enable) SRAM_OE_N 1 bit,giriş.yön transferi bir okuma işlemi olduğunu belirtir. (Output/Read Enable) SRAM_UB_N 1 bit giriş.üst bayt okunur veya yazılı gerektiğini belirtir. (Upper Byte Enable) 73

78 SRAM_LB_N 1 bit,giriş.düşük bayt okunur veya yazılı gerektiğini belirtir. (Lower Byte Enable) SRAM_DQ bits çift yönlü. Bu çizgiler aktarılan veri taşırlar. Onlar okuma işlemleri sırasında SRAM yongasında tarafından ve yazma işlemleri sırasında kontrolör tarafından tahrik edilmektedir. şekil 3 SRAM sinyalleri için zamanlama göstermektedir.sram yongasında, bir döngü içinde veri transferi tamamladığını unutmayın. Ayrıca, tüm kontrol sinyalleri aktif düşük olduğuna dikkat edin.sinyal SRAM yazma Veri SRAM DQ eşdeğer bir iç sinyali, ancak, sadece transfer yazma kullanılır. Bu sinyali yazılmış verileri ayarlanmış bir yazma aktarım sırasında hariç, yüksek empedans olarak ayarlanması gerekir Clock SRAM_ADDR 17 0 SRAM_CE_N SRAM_OE_N SRAM_WE_N SRAM_UB_N SRAM_LB_N SRAM_Write_Data 15 0 SRAM_DQ 15 0 Şekil 42: SRAM sinyaller zamanlama diyagramı SRAM yongasında, bir 50 MHz saat döngüsü içinde bir 16-bit değerini okuyabilir ve yazabilir, SRAM yongasında, tüm sinyaller sadece her 16-bit transfer için bu bir saat döngüsü için ileri sürülebilir.sram kontrolörü Şekil 2 de periferik olarak hareket edecek İstenen sisteminin uygulanması kolaylaştırmak için, Verilog / VHDL tanımlanan iki modülleri sunulmaktadır. Bu modüllerin bir tam sağlanır.diğer bir iskelet şeklinde verilir ve bu tatbikatın bir parçası olarak tamamlanmak üzere sahiptir. Bu modüller: Lab11_Part1 (Tam olarak verilen) SRAM_Controller (Iskeleti sağlanır) Bu modüller için Verilog / VHDL kodu Altera Üniversitesi Programı sitesinde sağlanmaktadır labs.html Aşağıdaki gibi istenen sisteminin uygulanması: 1. Lab11 Part1 adlı bir Quartus II projesi oluşturun. Kullanılabilir aygıtlar listesinden, Tablo 1 de listelenen DE-serisi panosu için uygun aygıt adı seçin. Bu laboratuar belge onlar için geçerli değil çünkü DE0 ve de2-70 panoları listelenen unutmayın. 74

79 Board DE1 DE2 DE2-115 Device Name Cyclone II EP2C20F484C7 Cyclone II EP2C35F672C6 Cyclone IVE EP4CE115F29C7 Tablo 5: DE-serisi FPGA aygıt adları 2. Aşağıdaki bileşenleri içeren Nios sistemi adlı bir sistem oluşturmak için SOPC Builder kullanın:: JTAG Debug Modül Seviye 1 ile Nios II / s işlemci On-chip bellek - RAM modu, 32-bit veri genişliği ve 4K bayt boyutu seçin JTAG UART - varsayılan ayarları, 32-bit veri genişliği, kullanmak, Dış Yön Köprüsü Avalon - de2-115 pano için DE1 ve de2 panoları, veya 2 Mbyte için 512 Kbyte 16-bit veri genişliği ve adres aralığı seçin.sopc Builder penceresinde İstenen köprü Dış Yön Köprüsü Üniversitesi Programı> Köprüler> Avalon seçerek bulunur. Not: 512 Kbyte adres aralığı seçimi k = 19 adresi willbe otobüs uygulanan anlamına gelir.de2-115 yönetim kurulu için 2 Mbyte adres aralığı k = 21 adres hattı yön uygulanacak anlamına gelir. 3. Nios II veri ana portu Harici Yön Köprüsü için değil, öğretim ana noktasına Avalon bağlayın. Sen SOPC Builder penceresinde bağlantı yolu tıklayarak köprü ve talimat ana liman arasındaki bağlantıyı kaldırabilirsiniz. Sistem menüsünden, Otomatik-Ata Base Auto-Assign IRQ takip adresler seçin. Bu görüntülenebilir adres atama hakkında herhangi bir hata iletileri kaldıracaktır. Şimdi, Şekil 4 te gösterilen sisteme sahip olmalıdır.. Bu egzersiz için verilen tasarım modülleri kullanılan adları için gösterilen isimleri bileşenleri yeniden adlandırın. 2 Mbyte bir adres aralığı Harici Yön Köprüsü Avalon seçildi çünkü de2-115 pano için adres değerlerini Şekil 4 te farklılık unutmayın. 2 Mbyte bir adres aralığı Harici Otobüs Köprüsü Avalon seçildi çünkü de2-115 pano için adres değerlerini Şekil 4 te farklılık unutmayın Şekil 43: Bölüm I SOPC Builder Nios II Sistem belirtilen. 75

80 4. cpu ayarını düzenleyin böylece reset vektörü ve istisna vektör kullanımı onchip_memory. 5. Sistemi oluşturun, SOPC Builder çıkın ve Quartus II yazılımına dönün 6. Lütfen Quartus II projesi için yukarıda bahsedilen iki Verilog / VHDL modülleri ekleyin 7. Oluşturulan Nios II sistemi verilen Verilog / VHDL modülü Lab11 Part1 içinde doğru örneği olup olmadığını kontrol edin. 8. SRAM Denetleyici tasarımını tamamlamak - Verilog / VHDL dosyası SRAM Controller iskelet tasarımı ile başlangıç 9. DE-serisi kartı için pin atamaları dosyasını alma. Belirli bir DE-serisi panosu için dosya Altera nın DE-serisi web sayfalarında bulunabilir. 10. Lütfen Quartus II Projesi derleyin. 11. SRAM yongasında birkaç yerde bazı veri yazmak ve sonra işlemci yazmaçları tekrar bu verileri okumak için bir Nios II assembly-dil programı yazın DE-serisi Kurulu içine oluşturulan sistem indirmek için Altera İzleme Programı kullanın. Sonra,Programınızı yükleyin çalıştırın. Sistem düzgün çalıştığını doğrulayın. Bölüm II Bölüm I de tek bir ana, Nios II Veri Belirleyicisi, yön bağlı bir bağımlı ile iletişim kuran bir sistemi inceledi. Bu bölümde belirleyicileri bir bağımlı bağlı durumda dikkate alacaktır. Her iki belirleyici aynı zamanda bağımlı erişim isteyebilir bu yana, bir seferde sadece bir ana periferik bağımlı erişim izni olacak bir tahkim devre sağlamak için gereklidir.. mesajları değiş tokuş ederek birbirleri ile iletişim kurmak zorunda olan iki Nios II işlemcileri içeren bir sistem düşünün.iletişim yeteneği sağlamanın bir yolu, hem de işlemci tarafından erişilebilen bir bellek modülü kullanmaktır. Her işlemcinin bellek modülüne bir mesaj yazabilir ve diğer işlemci bu modülü de bulabilirsiniz Biz ortak bellek olarak DE-serisi gemide SRAM yongasında kullanacaktır.istenen sistemi Şekil 5 de verilmiştir. Iki işlemci olduğu için, her bir işlemci I. olduğu gibi, dış Bus Köprü bir Avalon yoluyla SRAM bellek erişebilir, Avalon anahtarı iki örneğini olacaktır Şekildeki gibi kumaş ve Dış Yön iki Avalon köprüdür.hakem devre tek isteği her zaman servise sağlayan işlemciler istekleri Okuma ve Yazma işlemesi gerekir. SRAM Denetleyici I. ana bilgisayara bir bağlantı her işlemci için ayrı bir JTAG UART arayüzü birleştiren tarafından sağlanan Bölüm kullanılan devredir. Bu arabirimler USB-Blaster arabirime JTAG Hub ile bağlanır. Sisteme basit bir uygulama olarak, bir "sohbet odası" benzer bir iletişim yeteneği uygulamak istiyoruz.altera Monitör Programı ayrı bir sürüm her işlemci için aktif olacaktır. Bir uygulama programı kullanıcı bir İzleme Programı ve bu mesajı kullanarak bir ileti terminal görünecektir yazın sağlayacak.diğer Monitör Programı penceresi. 76

81 Host computer USB-Blaster interface JTAG Hub Nios II Processor 0 JTAG Debug module 0 JTAG UART interface 0 Nios II Processor 1 JTAG Debug module 1 JTAG UART interface 1 Avalon switch fabric 0 Avalon switch fabric 1 On-chip Memory 0 Avalon to External Bus Bridge 0 Avalon to External Bus Bridge 1 On-chip Memory 1 External Bus 0 External Bus 1 Arbiter SRAM Controller Cyclone II FPGA chip SRAM chip Şekil 44: Bölüm II sistemi. Aşağıdaki adımları uygulayın: 1. Lab11_Part2 Adında yeni bir proje oluşturma. 2. Şekil 5 de verilen modülleri uygulayan Şekil 6, sistem oluşturmak için SOPC Builder kullanabilir. : Sistemini içermektedir, her biri iki alt sistemleri kapsar JTAG Debug Modül Seviye 1 ile Nios II / s işlemci On-chip bellek - RAM modu ve 4 Kbyte boyutunu seçin 77

82 JTAG UART - varsayılan ayarları kullanabilirsiniz Dış Yön Köprüsü Avalon - 16-bit veri genişliği ve de2-115 pano için DE1 ve de2 panoları, veya 2 Mbyte için 512 Kbyte adres aralığı seçin.nios II için veri ana bağlantı noktası köprü bağlayın 3. Sistem menüsünden, Otomatik-Ata Base Auto-Assign IRQ takip adresler seçin. Şimdi, Şekil 6 da gösterilen sistem sahip olmalıdır. Bu egzersiz için verilen tasarım modülleri kullanılan adları için gösterilen isimleri bileşenleri yeniden adlandırın.. Şekil 45: SOPC Builder belirtilen Bölüm II için Nios II sistemi. 4. Cpu 0 ve 1 için ayarlarını düzenleyin,sırasıyla kendi reset vektör ve bellek çip 0 ve bellek çip 1 istisna vektör kullanımı öyle olacak.sistemi oluşturun, SOPC Builder çıkın ve Quartus II yazılımına dönün 5. Dış Yönler hem transferler kolları arbiter modülü geliştirin. Her iki yön aynı saat döngüsünde transfer olmak isterse ilk yanıt vermek için hangi istek seçtiğiniz için makul bir plan tasarlayın.istenilen tasarım bir iskelet verir Verilog / VHDL dosyası Yön arbiter, başlamanıza yardımcı olmak için sağlanmıştır. 6. Oluşturulan Nios sistemi ve arbiter düzgün Verilog / VHDL dosyası Lab11 Part2 örneği emin olun. 7. lütfen Quartus II projesi için Verilog / VHDL dosyaları ekleyin ve DE-serisi kuruluna dosyasını alarak pin atamaları belirtin 8. Lütfen Quartus II Projesi derleyin. 78

LMS PC aracı ACS420. LMS parametre ayarlarının yapılması için PC yazılımı. Kurlum ve çalıştırma talimatları

LMS PC aracı ACS420. LMS parametre ayarlarının yapılması için PC yazılımı. Kurlum ve çalıştırma talimatları LMS PC aracı ACS420 LMS parametre ayarlarının yapılması için PC yazılımı Kurlum ve çalıştırma talimatları 1 İçindekiler 1. ACS420 programının kurulumu.. 3 2. OCI430 ara yüzün sürücü kurulumu.. 7 OCI430

Detaylı

DS150E Kullanıcı El Kitabı. Dangerfield June. 2009 V3.0 Delphi PSS

DS150E Kullanıcı El Kitabı. Dangerfield June. 2009 V3.0 Delphi PSS DS150E Kullanıcı El Kitabı 1 Ana bileşen...3 Kurulum talimatları...5 Bluetooth Ayarları...26 Arıza Teşhis Programı...39 Dosya...41 Ayarlar...43 Belgeler...51 Yardım...53 OBD İletişimi...56 Yazdır ve Kaydet...66

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar)

Detaylı

icono Kullanıcı Kılavuzu

icono Kullanıcı Kılavuzu Kullanıcı Kılavuzu ĐÇĐNDEKĐLER 1 Genel Bakış... 3 1.1 ÖRNEK UYGULAMA... 4 2 Kurulum... 4 3 Kullanım... 5 3.1 KANALLARI GĐRĐŞ OLARAK KULLANMA... 7 3.2 KANALLARI ÇIKIŞ OLARAK KULLANMA... 7 3.3 ĐCONO HABERLEŞME

Detaylı

robotsan idea Kontrol Kartı (idea Board) Kurulum

robotsan idea Kontrol Kartı (idea Board) Kurulum robotsan idea Kontrol Kartı (idea Board) 1 Genel Bakış Bu dokümanda, robotsan tarafından geliştirilmiş olan idea Kontrol Kartları nın programlanabilmesi için işletim sistemine tanıtılması sırasında yapılması

Detaylı

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar) Bus

Detaylı

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Altera fpga kartları için derleyici programı Quartus tur. Aşağıdaki linkten quartus programı indirilebilir; https://www.altera.com/download/dnl-index.jsp

Detaylı

MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK

MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK 1.1 Programın Başlatılması 1.2 Yeni Proje Oluşturma 1.3 MCU Seçimi Yrd.Doç.Dr.Bülent Çobanoğlu 1.4 MCU Programlama Dil Seçimi 1.5 Proje İsmi

Detaylı

Ecat 8. Hakbim Bilgi İşlem A.Ş. Versiyon

Ecat 8. Hakbim Bilgi İşlem A.Ş. Versiyon Ecat 8 Hakbim Bilgi İşlem A.Ş. Versiyon 2015 01.01 1 Ecat8 Nedir? Firmaların elektronik yedek parça kataloğu ihtiyacını karşılamak için Hakbim Bilgi İşlem tarafından geliştirilmiş ve geliştirilmeye devam

Detaylı

Deney 4. Gerçek Zamanlı Kesme Uygulamaları

Deney 4. Gerçek Zamanlı Kesme Uygulamaları Deney 4 Gerçek Zamanlı Kesme Uygulamaları Deneyin Amacı Gerçek zamanlı kesmenin amacının anlaşılması Gerçek zamanlı kesmenin ayarlarının ve ne şekilde kullanılacağının anlaşılması Gerçek zamanlı kesme

Detaylı

SQL Uyarı Programı Kurulum ve Kullanımı

SQL Uyarı Programı Kurulum ve Kullanımı SQL Uyarı Programı Kurulum ve Kullanımı Kurulum 1. SQL Uyarı.zip dosyası açılır. 2. SQL Uyarı.exe programı çalıştırılır. 3. Üstteki ekran açılır ok. Butonuna basılır. 4. Ayarlar ekranı seçilir. 4.1 Server

Detaylı

Scream! e gelen veri akışlarından bazılarını diğer bir kurum yada bilgisayarla paylaşmak için kullanılabilir.

Scream! e gelen veri akışlarından bazılarını diğer bir kurum yada bilgisayarla paylaşmak için kullanılabilir. Eş zamanlı Scream! kullanımı Scream!, sismik ağın güçlü bir parçası olacak şekilde tasarlanmıştır. Varsayılan yapılandırma dosyası scream.ini dir ve ilk kullanım sırasında kendini otomatik olarak oluşturur.

Detaylı

AKINSOFT. Eofis NetworkAdmin. AKINSOFT EOfis NetworkAdmin Kurulumu Bilgi Notu. Doküman Versiyon : 1.01.01 Tarih : 10.01.2008. Copyright 2008 AKINSOFT

AKINSOFT. Eofis NetworkAdmin. AKINSOFT EOfis NetworkAdmin Kurulumu Bilgi Notu. Doküman Versiyon : 1.01.01 Tarih : 10.01.2008. Copyright 2008 AKINSOFT AKINSOFT EOfis NetworkAdmin Kurulumu Bilgi Notu Doküman Versiyon : 1.01.01 Tarih : 10.01.2008 1 - Çalışma Şekli Hakkında Kısa Bilgi modülü kısaca aşağıdaki işlemleri yapar. Bu özelliklerin çalışabilmesi

Detaylı

LAB 0 : Xilinx ISE Kullanımı

LAB 0 : Xilinx ISE Kullanımı LAB 0 : Xilinx ISE Kullanımı 1. Proje Yaratma a. Xilinx ISE programını açınız. b. File à New Project menüsünü seçiniz. New Project Wizard diyalog penceresi açılacaktır. c. New Project Wizard diyalog penceresinde

Detaylı

İşletim Sistemlerine Giriş

İşletim Sistemlerine Giriş İşletim Sistemlerine Giriş İşletim Sistemleri ve Donanım İşletim Sistemlerine Giriş/ Ders01 1 İşletim Sistemi? Yazılım olmadan bir bilgisayar METAL yığınıdır. Yazılım bilgiyi saklayabilir, işleyebilir

Detaylı

WeldEYE. Kurulum Kılavuzu. İçindekiler

WeldEYE. Kurulum Kılavuzu. İçindekiler WeldEYE Kurulum Kılavuzu İçindekiler Giriş... Hata! Yer işareti tanımlanmamış. Kurulum... Hata! Yer işareti tanımlanmamış. Sistem/Ortam gerekleri... 3 Yazılımın kurulması... 3 Kamera sürücüsünün kurulumu...

Detaylı

ML TFT 5 ARAYÜZ KULLANIM KILAVUZU

ML TFT 5 ARAYÜZ KULLANIM KILAVUZU ML TFT 5 ARAYÜZ KULLANIM KILAVUZU İçindekiler 1 ML TFT 5 Genel Özellikleri... 3 2 Arayüz... 3 2.1 Arayüz Hakkında... 3 2.2 Cihaz Haberleşmesi... 4 2.3 Kat Görselleri ve Ok Tipi Seçenekleri... 4 2.4 Tema

Detaylı

KOCAELİ TEKNİK LİSESİ ELEKTRİK ELEKTRONİK BÖLÜMÜ OTOMASYON ATÖLYESİ EKTS (Elektrik Kumanda Teknikleri Simülatörü ) DERS NOTU. Kaynak : www.veppa.

KOCAELİ TEKNİK LİSESİ ELEKTRİK ELEKTRONİK BÖLÜMÜ OTOMASYON ATÖLYESİ EKTS (Elektrik Kumanda Teknikleri Simülatörü ) DERS NOTU. Kaynak : www.veppa. KOCAELİ TEKNİK LİSESİ ELEKTRİK ELEKTRONİK BÖLÜMÜ OTOMASYON ATÖLYESİ EKTS (Elektrik Kumanda Teknikleri Simülatörü ) DERS NOTU Kaynak : www.veppa.com Hakkında EKTS (Elektrik Kumanda Teknikleri Simülatörü

Detaylı

KÖHLER. Okuma Programı Kullanma Klavuzu v 2.86 TEL: 0212 256 81 90 TEL: 0322 352 75 70-07 FX: 0212 256 81 97-98 FX: 0322 352 13 19

KÖHLER. Okuma Programı Kullanma Klavuzu v 2.86 TEL: 0212 256 81 90 TEL: 0322 352 75 70-07 FX: 0212 256 81 97-98 FX: 0322 352 13 19 KÖHLER Okuma Programı Kullanma Klavuzu v 2.86 MERKEZ ADANA BÖLGE TEL: 0212 256 81 90 TEL: 0322 352 75 70-07 FX: 0212 256 81 97-98 FX: 0322 352 13 19 İZMİR BÖLGE ANKARA BÖLGE TEL: 0232 459 59 95 TEL: 0312

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ. PC-SPIMGirişi(MIPS R2000 Simulatörü)

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ. PC-SPIMGirişi(MIPS R2000 Simulatörü) DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #1 PC-SPIMGirişi(MIPS R2000 Simulatörü) Giriş: PC-SPIM RISC mimarisi kullanan MIPS R2000/R3000

Detaylı

ÖĞRENME FAALİYETİ 3 ÖĞRENME FAALİYETİ 3

ÖĞRENME FAALİYETİ 3 ÖĞRENME FAALİYETİ 3 ÖĞRENME FAALİYETİ 3 ÖĞRENME FAALİYETİ 3 AMAÇ Bu öğrenme faaliyeti ile tasarım düzenlemelerini yapabileceksiniz. ARAŞTIRMA Tema ne demektir? Temayı oluşturan ögeler nelerdir? Araştırınız. Arka plan ne demektir?

Detaylı

ROKAY. Robot Operatör Kayıt Cihazı KULLANMA KILAVUZU V:1.0

ROKAY. Robot Operatör Kayıt Cihazı KULLANMA KILAVUZU V:1.0 ROKAY Robot Operatör Kayıt Cihazı KULLANMA KILAVUZU V:1.0 1 İÇİNDEKİLER SAYFA Cihazın Genel Özellikleri... 3 Programın Kurulumu... 4 Windows-7 Sürücülerin Yüklenmesi... 5 Windows-Vista Sürücülerin Yüklenmesi...

Detaylı

Program Kodları. void main() { trisb=0; portb=0; while(1) { portb.b5=1; delay_ms(1000); portb.b5=0; delay_ms(1000); } }

Program Kodları. void main() { trisb=0; portb=0; while(1) { portb.b5=1; delay_ms(1000); portb.b5=0; delay_ms(1000); } } Temrin1: PIC in PORTB çıkışlarından RB5 e bağlı LED i devamlı olarak 2 sn. aralıklarla yakıp söndüren programı yapınız. En başta PORTB yi temizlemeyi unutmayınız. Devre Şeması: İşlem Basamakları 1. Devreyi

Detaylı

T.C. istanbul ÜNiVERSiTESi ÖĞRENCi BiLGi SiSTEMi. ÖĞRETiM ELEMANI KULLANIM KILAVUZU

T.C. istanbul ÜNiVERSiTESi ÖĞRENCi BiLGi SiSTEMi. ÖĞRETiM ELEMANI KULLANIM KILAVUZU T.C. istanbul ÜNiVERSiTESi ÖĞRENCi BiLGi SiSTEMi ÖĞRETiM ELEMANI KULLANIM KILAVUZU 1 1. Sisteme Giriş Nokta Üniversite Otomasyonu sistemini kullanabilmek için öncelikle Windows işletim sisteminde bulunan

Detaylı

KONU: İşletim Sistemleri I - Ms-Dos İşletim Sistemi SORULAR

KONU: İşletim Sistemleri I - Ms-Dos İşletim Sistemi SORULAR KONU: İşletim Sistemleri I - Ms-Dos İşletim Sistemi Aşağıdakileri MS-DOS işletim sistemi uygulamalarını bilgisayarınızda yapınız ve nasıl yaptığınızı (hangi komutları nasıl kullandığınızı) boş bırakılan

Detaylı

TEMEL BİLGİSAYAR. Ders Notları. Yrd. Doç. Dr. Seyit Okan KARA

TEMEL BİLGİSAYAR. Ders Notları. Yrd. Doç. Dr. Seyit Okan KARA TEMEL BİLGİSAYAR Ders Notları Yrd. Doç. Dr. Seyit Okan KARA Pencerenin ortasında bulunan beyaz sayfa, slayt tasarımında kullanacağımız sayfamızdır. Sol panelde bu slayt sayfasının küçültülmüş halde bir

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Giriş Mikro işlemler Fetch cycle Indirect cycle Interrupt cycle Execute cycle Instruction

Detaylı

Gerekli bağlantıları yapıp, ACS420 V3.03 programını çalıştırınız. Program açıldığında, LMS14 ün içindeki parametrelerin okunmasını bekleyiniz.

Gerekli bağlantıları yapıp, ACS420 V3.03 programını çalıştırınız. Program açıldığında, LMS14 ün içindeki parametrelerin okunmasını bekleyiniz. Gerekli bağlantıları yapıp, ACS420 V3.03 programını çalıştırınız. Program açıldığında, LMS14 ün içindeki parametrelerin okunmasını bekleyiniz. Aşağıdaki pencereyi gördükten sonra cihazınız parametre ayarı

Detaylı

Mikroişlemcili Sistemler ve Laboratuvarı 6.Hafta

Mikroişlemcili Sistemler ve Laboratuvarı 6.Hafta SAKARYA ÜNİVERSİTESİ Bilgisayar ve Bilişim Bilimleri Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemcili Sistemler ve Laboratuvarı 6.Hafta Doç.Dr. Ahmet Turan ÖZCERİT Doç.Dr. Cüneyt BAYILMIŞ Yrd.Doç.Dr.

Detaylı

Ürün Kutusu. Kargoyla teslim edilen ürün kutusu. Ürün kutusundaki temel bileşenler

Ürün Kutusu. Kargoyla teslim edilen ürün kutusu. Ürün kutusundaki temel bileşenler Kurulum Sunumu Ürün Kutusu Kargoyla teslim edilen ürün kutusu Ürün kutusundaki temel bileşenler Not: Kutu içerisindeki tüm bileşenlerin eksiksiz teslim edildiğinden emin olunmalıdır. Ürün Kutusundaki Bileşenler

Detaylı

Ecza Depolarına Ait E-Fatura Aktarım Modülü

Ecza Depolarına Ait E-Fatura Aktarım Modülü Bilge Elektronik Ltd. Şti. Eczanem Otomasyon Sistemi Ecza Depolarına Ait E-Fatura Aktarım Modülü 1 1. SELÇUK/AS/NEVZAT/DİLEK Ecza Depoları E-Fatura Aktarımı.. 3 2. HEDEF Ecza Deposu E-Fatura Aktarımı..

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6 DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6 16 Bitlik R Tipi İçin ALTERA MAX-PLUS-II VHDL de Tam Tek Saat Veri Yolu Birimi Amaç: Tek-Saat

Detaylı

BİLGİSAYAR MİMARİSİ. << Bus Yapısı >> Özer Çelik Matematik-Bilgisayar Bölümü

BİLGİSAYAR MİMARİSİ. << Bus Yapısı >> Özer Çelik Matematik-Bilgisayar Bölümü BİLGİSAYAR MİMARİSİ > Özer Çelik Matematik-Bilgisayar Bölümü Veri yolu (BUS), anakarttaki tüm aygıtlar arası veri iletişimini sağlayan devrelerdir. Yani bilgisayarın bir bileşeninden diğerine

Detaylı

Rezistivite Cihazı Kullanım Klavuzu

Rezistivite Cihazı Kullanım Klavuzu Rezistivite Cihazı Kullanım Klavuzu Açılış ekranı açıklamaları: 1 - Son çalışma aç : Cizhazda en son çalışma yaptığınız dosyayı açar. 2 - Dosya aç : İstediğiniz dosyayı açmanızı sağlar. 3 Yeni çalışma

Detaylı

BİL BİLGİSAYAR PROGRAMLAMA (JAVA)

BİL BİLGİSAYAR PROGRAMLAMA (JAVA) BİL 141 - BİLGİSAYAR PROGRAMLAMA (JAVA) KURULUM DÖKÜMANI Ders kapsamında lab saatlerinde veya lab saatleri dışında Java kodları yazabilmek ve çalıştırabilmek için bilgisayarınıza bazı yazılımların kurulması

Detaylı

ÖĞRENME FAALİYETİ 1 ÖĞRENME FAALİYETİ 1

ÖĞRENME FAALİYETİ 1 ÖĞRENME FAALİYETİ 1 ÖĞRENME FAALİYETİ 1 ÖĞRENME FAALİYETİ 1 AMAÇ Bilgisayar ve elektronik tablolama yazılımı sağlandığında elektronik tablolama yazılımı çalışma alanı düzenlemelerini yapabileceksiniz. ARAŞTIRMA Güncel olarak

Detaylı

Windows 8.1 Güncelleştirmesi Adım Adım Kılavuzu

Windows 8.1 Güncelleştirmesi Adım Adım Kılavuzu Windows 8.1 Güncelleştirmesi Adım Adım Kılavuzu Windows 8.1 Yükleme ve Güncelleştirme BIOS yazılımını, uygulamaları, sürücüleri güncelleştirme ve Windows Update'i çalıştırma Yükleme türünü seçme Windows

Detaylı

Kullanım Kılavuzu Milli Eğitim Bakanlığı 2010

Kullanım Kılavuzu Milli Eğitim Bakanlığı 2010 Kullanım Kılavuzu Milli Eğitim Bakanlığı 2010 Bu belge BT Sınıfı Etkinliklerinde Kullanılmak Üzere Hazırlanmıştır İÇİNDEKİLER GİRİŞ... 3 ÜYE (KAYIT) OLMA... 3 YÖNETİM PANELİ İŞLEMLERİ... 5 ŞABLON AYARLARI...

Detaylı

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK Mikroişlemci HAFTA 1 HAFIZA BİRİMLERİ Program Kodları ve verinin saklandığı bölüm Kalıcı Hafıza ROM PROM EPROM EEPROM FLASH UÇUCU SRAM DRAM DRRAM... ALU Saklayıcılar Kod Çözücüler... GİRİŞ/ÇIKIŞ G/Ç I/O

Detaylı

MATLAB KURULUM KILAVUZU

MATLAB KURULUM KILAVUZU MATLAB KURULUM KILAVUZU 1- https://www.mathworks.com sitesine girerek Log In sekmesine tıklayın. 2- Daha önceden bir Mathworks hesabı oluşturmadıysanız Create Account diyerek yeni bir hesap oluşturun.

Detaylı

NPratik Yazılım Kurulum Kılavuzu. Yedekleme İşlemi

NPratik Yazılım Kurulum Kılavuzu. Yedekleme İşlemi NPratik Yazılım Kurulum Kılavuzu Herhangi bir neden ile bilgisayarınızı formatlamadan önce NPratik Yazılım Verilerinizi yedeklemeniz gerekmektedir. Programı ilk defa kuruyorsanız NPratik Yazılım Kurulum

Detaylı

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme PROGRAMIN ADI DERSIN KODU VE ADI DERSIN ISLENECEGI DÖNEM HAFTALIK DERS SAATİ DERSİN SÜRESİ ENDÜSTRİYEL ELEKTRONİK MİK.İŞLEMCİLER/MİK.DENETLEYİCİLER-1 2. Yıl, III. Yarıyıl (Güz) 4 (Teori: 3, Uygulama: 1,

Detaylı

CP1E KM-N2-FLK MODBUS HABERLEŞMESİ

CP1E KM-N2-FLK MODBUS HABERLEŞMESİ CP1E KM-N2-FLK MODBUS HABERLEŞMESİ Kablo Bağlantıları ve Slave Node Adresinin Belirlenmesi KM-N2-FLK Modbus Ayarlarının Yapılması PLC Modbus Ayarlarının Yapılması KM-N2-FLK dan 1 Word Okuma İşlemi KM-N2-FLK

Detaylı

KASIRGA 4. GELİŞME RAPORU

KASIRGA 4. GELİŞME RAPORU KASIRGA 4. GELİŞME RAPORU 14.07.2008 Ankara İçindekiler İçindekiler... 2 Giriş... 3 Kasırga Birimleri... 3 Program Sayacı Birimi... 3 Bellek Birimi... 3 Yönlendirme Birimi... 4 Denetim Birimi... 4 İşlem

Detaylı

TeraStation 3000/4000/5000/7000 ile Replikasyon. Buffalo Technology

TeraStation 3000/4000/5000/7000 ile Replikasyon. Buffalo Technology TeraStation 3000/4000/5000/7000 ile Replikasyon Buffalo Technology Giriş Replikasyon, bir klasörü iki ayrı TeraStation da neredeyse gerçek zamanlı senkronize etmeye denir. Çift yönlü çalışmaz; yalnızca

Detaylı

Şekil 2.1 : Şekil 2.2 : Şekil 2.3 :

Şekil 2.1 : Şekil 2.2 : Şekil 2.3 : BÖLÜM 2 MĐCROWIN 32 PROGRAMININ BĐLGĐSAYARA YÜKLENMESĐ 2.1 - Giriş: PLC de tasarlanan projeyi çalıştırabilmek için Micro/WIN 32 veya Micro/WIN 16 paket programın öncelikle bilgisayara yüklenmesi gerekmektedir.

Detaylı

B.Ç. / E.B. MİKROİŞLEMCİLER

B.Ç. / E.B. MİKROİŞLEMCİLER 1 MİKROİŞLEMCİLER RESET Girişi ve DEVRESİ Program herhangi bir nedenle kilitlenirse ya da program yeniden (baştan) çalıştırılmak istenirse dışarıdan PIC i reset yapmak gerekir. Aslında PIC in içinde besleme

Detaylı

ZEBEX 107X EL TERMİNALLERİNE FIRMWARE YÜKLEME

ZEBEX 107X EL TERMİNALLERİNE FIRMWARE YÜKLEME ZEBEX 107X EL TERMİNALLERİNE FIRMWARE YÜKLEME Firmware Nedir. En basit tanımlama ile elektronik bir cihazın herhangi bir yongasında (chip) bulunan yazılımlara (programlara) firmware denilir. Firmware,

Detaylı

.docx veya.doc (2007 ve üzeri sürümlerde.docx iken sürümlerinde.doc tur.) 1.Belge Başlığı

.docx veya.doc (2007 ve üzeri sürümlerde.docx iken sürümlerinde.doc tur.) 1.Belge Başlığı MİCROSOFT WORD (KELİME İŞLEMCİ) Dosya Uzantısı.docx veya.doc (2007 ve üzeri sürümlerde.docx iken 1997 2003 sürümlerinde.doc tur.) Genel Ekran Görünümü 1.Belge Başlığı 2.Sekmeler 3.Sekme Şeridi 5.Cetveller

Detaylı

Şekil 2.31: Proje yöneticisi penceresinden değişkenleri tanımlama

Şekil 2.31: Proje yöneticisi penceresinden değişkenleri tanımlama 2.3.1.1. Değişken İşlemleri Proje Yöneticisi penceresinin üst kısmındaki açılım "Variables" seçildiğinde pencerenin altında klasör ayraç etiketleri şeklinde sistem değişkenlerini tanımlama seçenekleri

Detaylı

ELEKTRONİK BELGE YÖNETİM SİSTEMİ KOORDİNATÖRLÜĞÜ (EBYS KOORDİNATÖRLÜĞÜ) ELEKTRONİK İMZA KURULUM AŞAMALARI VE EBYS PROGRAMI SİSTEM GEREKSİNİMLERİ

ELEKTRONİK BELGE YÖNETİM SİSTEMİ KOORDİNATÖRLÜĞÜ (EBYS KOORDİNATÖRLÜĞÜ) ELEKTRONİK İMZA KURULUM AŞAMALARI VE EBYS PROGRAMI SİSTEM GEREKSİNİMLERİ ELEKTRONİK BELGE YÖNETİM SİSTEMİ KOORDİNATÖRLÜĞÜ (EBYS KOORDİNATÖRLÜĞÜ) ELEKTRONİK İMZA KURULUM AŞAMALARI VE EBYS PROGRAMI SİSTEM GEREKSİNİMLERİ E-İMZA KURULUM AŞAMALARI Birimimizden almış olduğunuz e-imzanızı

Detaylı

YEDEKLEME PROGRAMI KURULUM VE KULLANIMI

YEDEKLEME PROGRAMI KURULUM VE KULLANIMI YEDEKLEME PROGRAMI KURULUM VE KULLANIMI Kurulum 1..zip dosyasını açınız. 2. Açılan dosyanın içindeki Yedekleme klasörünü açınız. 3. Yedekleme.exe dosyasını açınız. 4. Üst pencerede ki ekran gözükecektir.

Detaylı

ORSOFT 5.22 AMERİKAN KÜLTÜR DERNEĞİ DİL OKULLARI YÖNETİM BİLGİ SİSTEMİ KURULUMU

ORSOFT 5.22 AMERİKAN KÜLTÜR DERNEĞİ DİL OKULLARI YÖNETİM BİLGİ SİSTEMİ KURULUMU ORSOFT 5.22 AMERİKAN KÜLTÜR DERNEĞİ DİL OKULLARI YÖNETİM BİLGİ SİSTEMİ KURULUMU UDİSA Bilgisayar Limited Şirketi tarafından yazımı tamamlanan ve sizler için özel olarak geliştirilen bilgisayar programımızın,

Detaylı

PRESTIGIO GEOVISION 150/450 KULLANMA KILAVUZU

PRESTIGIO GEOVISION 150/450 KULLANMA KILAVUZU PRESTIGIO GEOVISION 150/450 KULLANMA KILAVUZU Prestigio GeoVision 150 Kontrollerin yerleşimi 1. Açma/kapama düğmesi 2. SD Kart Yuvası 3. Dokunma Paneli 4. Menü Butonu 5. Işıklı Gösterge (Şarj olurken kırmızı

Detaylı

Usta Aritmetik Bayi Kontrol Programı Kullanım Kılavuzu (V.1.3.0)

Usta Aritmetik Bayi Kontrol Programı Kullanım Kılavuzu (V.1.3.0) Usta Aritmetik Bayi Kontrol Programı Kullanım Kılavuzu (V.1.3.0) A. Öğretmen Girişi a b c d B. Ana Menü a. Kullanıcı bilgisi : Bu alana yazılacak bilgiyi size Usta Aritmetik firması sağlamaktadır. b. Şifre

Detaylı

Kurulum ve Başlangıç Kılavuzu. DataPage+ 2013 için

Kurulum ve Başlangıç Kılavuzu. DataPage+ 2013 için DataPage+ 2013 için En Son Güncellenme Tarihi: 25 Temmuz 2013 İçindekiler Tablosu Önkoşul Olan Bileşenleri Yükleme... 1 Genel Bakış... 1 Adım 1: Setup.exe'yi Çalıştırın ve Sihirbazı Başlatın... 1 Adım

Detaylı

Kurulum ve Başlangıç Kılavuzu. DataPage+ 2012 için

Kurulum ve Başlangıç Kılavuzu. DataPage+ 2012 için DataPage+ 2012 için Son Güncelleme: 29 Ağustos 2012 İçindekiler Tablosu Önkoşul Olan Bileşenleri Yükleme... 1 Genel Bakış... 1 Adım 1: Setup.exe'yi Çalıştırın ve Sihirbazı Başlatın... 1 Adım 2: Lisans

Detaylı

Fiery seçenekleri 1.3 yardımı (sunucu)

Fiery seçenekleri 1.3 yardımı (sunucu) 2015 Electronics For Imaging. Bu yayın içerisinde yer alan tüm bilgiler, bu ürüne ilişkin Yasal Bildirimler kapsamında korunmaktadır. 29 Ocak 2015 İçindekiler 3 İçindekiler...5 Bir Fiery seçeneğini etkinleştirme...5

Detaylı

SAB 103 TEMEL BİLGİSAYAR KULLANIMI

SAB 103 TEMEL BİLGİSAYAR KULLANIMI SAB 103 TEMEL BİLGİSAYAR KULLANIMI Kelime İşlemci - Word Prof.Dr. Fatih TANK Ankara Üniversitesi Uygulamalı Bilimler Fakültesi Sigortacılık ve Aktüerya Bilimleri Bölümü Prof.Dr. Fatih TANK - Temel - Ders

Detaylı

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER PROJE OLUŞTURMA ŞEMATİK DOSYASI OLUŞTURULMASI VERILOG DOSYASI OLUŞTURULMASI TEST DOSYASI OLUŞTURULMASI XILINX ISE SIMULATOR İLE BENZETİM YAPILMASI PİN

Detaylı

MY PANEL METER KULLANIM KILAVUZU

MY PANEL METER KULLANIM KILAVUZU MY PANEL METER KULLANIM KILAVUZU EPA100 cihazınızı USB ile bilgisayarınıza bağlayarak çeşitli ayarlar yapabilir, okunan değerlerin grafiğini çıkartabilir, cihaz üzerinde yaptığınız bütün fonksiyonlara

Detaylı

Açılan programın pencere görünümü aşağıdaki gibidir. 2. Araç Çubuğundan kaydet düğmesi ile

Açılan programın pencere görünümü aşağıdaki gibidir. 2. Araç Çubuğundan kaydet düğmesi ile POWERPOINT PROGRAMI Powerpoint bir sunu hazırlama programıdır. Belirli bir konu hakkında bilgi vermek için, derslerle ilgili bir etkinlik hazırlamak için, dinleyicilere görsel ortamda sunum yapmak için

Detaylı

Zoru Kolay Yapmak İçin...

Zoru Kolay Yapmak İçin... Zoru Kolay Yapmak İçin... 3308 Mesleki Eğitim Okullarında Öğrenci Takip Programı KURULUM Programı http://www.cetasey.com/userfiles/file/setup.exe adresinden bilgisayarınıza indirip kurun. Daha sonra programın

Detaylı

1. Mutabakat.zip dosyası açılır. 2. Mutabakat klasörü içindeki Mutabakat.exe dosyası çalıştırılır.

1. Mutabakat.zip dosyası açılır. 2. Mutabakat klasörü içindeki Mutabakat.exe dosyası çalıştırılır. BA&BS MUTABAKAT PROGRAMI Kurulumu 1. Mutabakat.zip dosyası açılır. 2. Mutabakat klasörü içindeki Mutabakat.exe dosyası çalıştırılır. 3. Server ayarlarının yapılacağı pencere açılır. 3.1 Server Adı\instance

Detaylı

Excel Nedir? Microsoft Excell. Excel de Çalışma sayfası-tablo

Excel Nedir? Microsoft Excell. Excel de Çalışma sayfası-tablo Microsoft Excell Excel Nedir? Excel programı; veriler üzerinde hesap yapabilme, verileri tabloya dönüştürebilme, verileri karşılaştırıp sonuç üretebilme, grafik oluşturma, veri yönetimi yapabilir. http://mf.dpu.edu.tr/~eyup

Detaylı

Bölüm 4 Ardışıl Lojik Devre Deneyleri

Bölüm 4 Ardışıl Lojik Devre Deneyleri Bölüm 4 Ardışıl Lojik Devre Deneyleri DENEY 4-1 Flip-Floplar DENEYİN AMACI 1. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop

Detaylı

SATIŞ EKRANININ KULLANIMI:

SATIŞ EKRANININ KULLANIMI: , Teperes KULLANIM PROGRAMI ÇALIŞTIRMAK: Programı çalıştırmak için masa üstündeki, Teperes Sale simgesine çift tıklayınız. Açılan pencerede işlem yapılacak P.O.S u ( point tof sale) seçiniz ve satış görünümüne

Detaylı

EĞİTMENLER İÇİN UZAKTAN EĞİTİM SİSTEMİ (MOODLE) eders.giresun.edu.tr KULLANIM KILAVUZU

EĞİTMENLER İÇİN UZAKTAN EĞİTİM SİSTEMİ (MOODLE) eders.giresun.edu.tr KULLANIM KILAVUZU EĞİTMENLER İÇİN UZAKTAN EĞİTİM SİSTEMİ (MOODLE) eders.giresun.edu.tr KULLANIM KILAVUZU İçindekiler Sisteme Giriş Yapma... 2 Sanal Ders Oluşturma... 3 Sisteme Materyal Yükleme... 13 Sisteme Sanal Ders Videosunu

Detaylı

Kurulum ve Sistem Gereklilikleri 1

Kurulum ve Sistem Gereklilikleri 1 Kurulum ve Sistem Gereklilikleri 1 1 KURULUM VE SISTEM GEREKLILIKLERI...2 1.1 DONANIM VE YAZILIM GEREKLILIKLERI...2 1.2 KURULUM...3 1.3 LIFTDESIGNER 5 UYGULAMASINI İLK DEFA BAŞLATMA...6 1.4 DIGIPARA SUITE

Detaylı

Öğrenci Kullanım Kılavuzu

Öğrenci Kullanım Kılavuzu Öğrenci Kullanım Kılavuzu Web tabanlı ALMS adresinizden sisteminize web tarayıcınızla (Google Chrome, Internet Explorer, Mozilla, Safari vb.) giriş yapınız. Açılan sayfada gerekli alanlara TC kimlik numaranızı

Detaylı

idealab Kullanım Bilgileri

idealab Kullanım Bilgileri idealab Kullanım Bilgileri Hızlı Başlangıç 1. idea kontrol kartını bilgisayara bağlayın. 2. Kartın gücünü açıp Bağlan tuşuna tıklayarak Modüller Ekranı na geçin. 3. Modüller Ekranı nda kart üzerindeki

Detaylı

MS POWERPOINT 2010. Şekil 111 Powerpoint 2010 Programını Başlatmak

MS POWERPOINT 2010. Şekil 111 Powerpoint 2010 Programını Başlatmak MS POWERPOINT 2010 1.Giriş: PowerPoint, Windows ortamında çalışan bir sunu paket programıdır. Metin, taslak, çizim ve grafikler kullanılarak sunular, slaytlar, broşürler, bildiriler, konuşmacı notları

Detaylı

ZTerminal KULLANMA KILAVUZU. yazılımı. USB Terminal

ZTerminal KULLANMA KILAVUZU. yazılımı. USB Terminal ZTerminal USB Terminal yazılımı KULLANMA KILAVUZU Z Telemetri Telekomünikasyon Yazılım San. Tic. LTD. Şti. info@ztelemetry.com Tel: +90 312 417 1243 www.ztelemetry.com 01 AÇIKLAMA ZTerminal Yazılımı ile

Detaylı

Luca NET Kobi Ticari Yazılımında ilk yapılacaklar

Luca NET Kobi Ticari Yazılımında ilk yapılacaklar Luca NET Kobi Ticari Yazılımında ilk yapılacaklar Luca MMP (Mali Müşavir Paketi) bilgileri ile Luca Üye girişinden sisteme giriş yapıldıktan sonra Luca Net Kobi Ticari Giriş butonuna basarak programa giriş

Detaylı

MIKRODENETLEYICILER. Ege Üniversitesi Ege MYO Mekatronik Programı

MIKRODENETLEYICILER. Ege Üniversitesi Ege MYO Mekatronik Programı MIKRODENETLEYICILER Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 3 Assembler Programlama ve Program Geliştirme Program Geliştirme Problem Tanımlama Bağlantı Şekli Algoritma Akış Diyagramı Kaynak

Detaylı

SIMAN KULLANIM KILAVUZU

SIMAN KULLANIM KILAVUZU SIMAN KULLANIM KILAVUZU Önder Öndemir SIMAN Simülasyon programı Model Çatı ve Deneysel Çatı olmak üzere iki kısımdan oluşur. Model çatı genel itibariyle modullerin ve işlem bloklarının yazıldığı kısımdır.

Detaylı

BIL411 - BİLGİSAYAR AĞLARI LABORATUVARI

BIL411 - BİLGİSAYAR AĞLARI LABORATUVARI BIL411 - BİLGİSAYAR AĞLARI LABORATUVARI ITS-101A INTERNET EĞİTİM SİSTEMİ TCP/IP ICMP Ping ve Checksum İstanbul Ticaret Üniversitesi Bilgisayar Mühendisliği Bölümü Araş. Gör. Can EYÜPOĞLU ICMP Ping ve Checksum

Detaylı

ÇANKAYA ÜNİVERSİTESİ WEBMAIL KULLANIM KLAVUZU

ÇANKAYA ÜNİVERSİTESİ WEBMAIL KULLANIM KLAVUZU ÇANKAYA ÜNİVERSİTESİ WEBMAIL KULLANIM KLAVUZU Üniversitemiz Webmail servisi yenilenmiş olup Roundcube webmail üzerinden servis vermeye başlamıştır. Daha önce kullanılan SquirrelMail servisi https://oldwebmail.cankaya.edu.tr/

Detaylı

TSOFT FACEBOOK STORE UYGULAMASI

TSOFT FACEBOOK STORE UYGULAMASI TSOFT FACEBOOK STORE UYGULAMASI GEREKSİNİMLER VE KURULUM YARDIMI GİRİŞ Facebook, insanların arkadaşlarıyla iletişim kurmasını ve bilgi alış verişi yapmasını amaçlayan bir sosyal paylaşım web sitesidir,

Detaylı

İSTİHDAM VE SOSYAL UYUM İÇİN DİJİTAL BECERİLER

İSTİHDAM VE SOSYAL UYUM İÇİN DİJİTAL BECERİLER İSTİHDAM VE SOSYAL UYUM İÇİN DİJİTAL BECERİLER Proje Referans No: 2015-1-TR01-KA201-021424 Co-funded by the Erasmus+ Programme of the European Union Elektronik Tablo Görevi Öğrenme Sayfaları İstihdam ve

Detaylı

Haftalık Ders Saati Okul Eğitimi Süresi

Haftalık Ders Saati Okul Eğitimi Süresi DERSİN ADI BÖLÜM PROGRAM DÖNEMİ DERSİN DİLİ DERS KATEGORİSİ ÖN ŞARTLAR SÜRE VE DAĞILIMI KREDİ DERSİN AMACI ÖĞRENME ÇIKTILARI VE YETERLİKLER DERSİN İÇERİĞİ VE DAĞILIMI (MODÜLLER VE HAFTALARA GÖRE DAĞILIMI)

Detaylı

ENROUTEPLUS TA YAPILMASI GEREKENLER

ENROUTEPLUS TA YAPILMASI GEREKENLER 11 Mayıs 2010 İlgili Versiyon/lar : ETA:SQL, ETA:V.8-SQL İlgili Modül/ler : Transfer EnRoutePlus TAN METİN DOSYALARININ AKTARIMI (FATURA, NAKİT, ÇEK, SENET) Univera firmasının EnRoutePlus programından

Detaylı

2014-2015 EĞİTİM-ÖĞRETİM YILI BİLİŞİM TEKNOLOJİLERİ VE YAZILIM DERSİ 6. SINIF 2. DÖNEM 2. SINAV ÇALIŞMA NOTLARI

2014-2015 EĞİTİM-ÖĞRETİM YILI BİLİŞİM TEKNOLOJİLERİ VE YAZILIM DERSİ 6. SINIF 2. DÖNEM 2. SINAV ÇALIŞMA NOTLARI 2014-2015 EĞİTİM-ÖĞRETİM YILI BİLİŞİM TEKNOLOJİLERİ VE YAZILIM DERSİ 6. SINIF 2. DÖNEM 2. SINAV ÇALIŞMA NOTLARI İşletim Sisteminde Yapılan Uygulamalar Bir Bilgisayarda Hangi İşletim Sistemi Yüklü Olduğunu

Detaylı

Web sayfalarında yapılan her çalışma ve düzenleme tablolar aracılığı ile yapılır. Dolayısıyla çoğu web sayfalarında tablo kullanmak zorunluluktur.

Web sayfalarında yapılan her çalışma ve düzenleme tablolar aracılığı ile yapılır. Dolayısıyla çoğu web sayfalarında tablo kullanmak zorunluluktur. Yazı Tipi Stili HTML kodları 47 Kalın İtalik Altı Çizili 9.2.6 Metin yükseltme veya alçaltma 1. Sayfa görünümünde, belge penceresinin altındaki Tasarla düğmesini tıklatın. 2.

Detaylı

VHOPE ve VHOPE kitaplık dosyalarını kurma

VHOPE ve VHOPE kitaplık dosyalarını kurma VHOPE ve VHOPE kitaplık dosyalarını kurma Adım 1, VHOPE'yi kurma Bu USB sürücüsündeki sunum materyalini kullanabilmeniz için öncelikle VHOPE uygulamasının bilgisayarınıza yüklenmesi gerekir. Volvo Kurumsal

Detaylı

Laboratuvar Çalışması 3.6.4 Konak Bilgisayarları Bağlama ve Yapılandırma

Laboratuvar Çalışması 3.6.4 Konak Bilgisayarları Bağlama ve Yapılandırma Laboratuvar Çalışması 3.6.4 Konak Bilgisayarları Bağlama ve Yapılandırma Hedefler Bir düz kablo kullanarak PC yi bir yönlendiriciye bağlama. PC yi uygun bir IP adresiyle yapılandırma. PC yi bir NetBIOS

Detaylı

Hem lw hem de sw komutlarının ofseti 16-bitlik işaretli tamsayıdır.

Hem lw hem de sw komutlarının ofseti 16-bitlik işaretli tamsayıdır. DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #2 DİZİLERE ERİŞİMDE MIPS BELLEK TALİMATLARI Amaç: Veri bölütü kullanımını ve tek-modüllü dizi

Detaylı

HSancak Nesne Tabanlı Programlama I Ders Notları

HSancak Nesne Tabanlı Programlama I Ders Notları DİZİLER Bellekte ard arda yer alan aynı türden nesneler kümesine dizi (array) denilir. Bir dizi içerisindeki bütün elemanlara aynı isimle ulaşılır. Yani dizideki bütün elemanların isimleri ortaktır. Elemanlar

Detaylı

AKILLI KÜRSÜ KULLANIM KILAVUZU

AKILLI KÜRSÜ KULLANIM KILAVUZU Sayfa 1/11 AKILLI KÜRSÜ KULLANIM KILAVUZU 26.01.2017 Sayfa 2/11 Akıllı Kürsüleri Çalıştırmak Akıllı kürsüleri çalıştırabilmek için her bir kürsünün hemen yan tarafında bulunan şifre giriş panelinden yararlanılır.

Detaylı

Code Composer Studio İndirilmesi ve Kurulması

Code Composer Studio İndirilmesi ve Kurulması BÖLÜM 2: 2.1. STELARIS KART GENEL BİLGİ VE CODE COMPOSER STUDIO: Code Composer Studio İndirilmesi ve Kurulması 1. Aşağıdaki linkten Code Composer Studio yu indirebilirsiniz: http://processors.wiki.ti.com/index.php/download_ccs

Detaylı

BÖLÜM 23 TD 200... F8 F4 SHIFT ESC ENTER M1.7 M1.6 M1.5 M1.4 M1.3 M1.2 M1.1 M1.0 F8 F7 F6 F5 F4 F3 F2 F1. Shift + F1

BÖLÜM 23 TD 200... F8 F4 SHIFT ESC ENTER M1.7 M1.6 M1.5 M1.4 M1.3 M1.2 M1.1 M1.0 F8 F7 F6 F5 F4 F3 F2 F1. Shift + F1 BÖLÜM 23 231 -TD 200 ( OPERATÖR PANEL) KULLANIMI TD 200 operatör paneli; PLC' ye mesaj göndermek, PLC' de daha önce yüklenmiş olan mesajları almak, analog işlemli projelerde ısı, nem, gaz, ışık gibi değerleri

Detaylı

BİLGİ TEKNOLOJİLERİ EĞİTİM KILAVUZU

BİLGİ TEKNOLOJİLERİ EĞİTİM KILAVUZU ARMONİ PAZARLAMA PLASTİK SANAYİ TİCARET ANONİM ŞİRKETİ BİLGİ TEKNOLOJİLERİ EĞİTİM KILAVUZU A- TERMİNAL SİPARİŞ SİSTEMİ B- TERMİNAL ELEKTRONİK POSTA GÖNDERME VE ALMA C- TERMİNAL APN BAĞLANTISI SİPARİŞ SİSTEMİ

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #5 16 Bitlik R Tipi İçin ALTERA MAX-PLUS-II VHDL de Tek Saat Veri Yolu Birimi 1.Giriş Bu deneyde

Detaylı

Algoritma ve Programlamaya Giriş

Algoritma ve Programlamaya Giriş Algoritma ve Programlamaya Giriş Algoritma Bir sorunu çözebilmek için gerekli olan sıralı ve mantıksal adımların tümüne Algoritma denir. Doğal dil ile yazılabilir. Fazlaca formal değildir. Bir algoritmada

Detaylı

Xilinx ISE Webpack 14.7 Kurulum Tutorial

Xilinx ISE Webpack 14.7 Kurulum Tutorial Xilinx ISE Webpack 14.7 Kurulum Tutorial Bu dönemki Bil264L dersinde Xilinx firmasının üretmiş olduğu Spartan3E isimli FPGA geliştirme kiti üzerinde mantıksal devreler tasarlayacağız. Derste kullanacağımız

Detaylı

Sihirbaz Kullanarak Sorgu Oluştur : Sihirbaz sorguyu hazırlayan kişiye sorular sorar ve yanıtlarına göre sorgu oluşturur.

Sihirbaz Kullanarak Sorgu Oluştur : Sihirbaz sorguyu hazırlayan kişiye sorular sorar ve yanıtlarına göre sorgu oluşturur. BÖLÜM17 3. SORGULAR Access Veritabanında sorgu; tablolara yazılan bilgilerin hepsinin veya istenilen (belirlenen) şarta uyanlarının bulunmasıdır. Örneğin Tıp Fakültesinde okuyan öğrenciler gibi. Sorguları

Detaylı

Kablosuz 802.11N USB Adaptör

Kablosuz 802.11N USB Adaptör Kablosuz 802.11N USB Adaptör Hızlı Kurulum Kılavuzu ve Garanti Belgesi NWD-270N Kablosuz 802.11n USB Adaptör Genel Bakış NWD-270N, WPS (Kablosuz Gu venlik Yapılandırması) destekli USB arayu zu ne sahip

Detaylı

1. VERİ TABANI KAVRAMLARI VE VERİ TABANI OLUŞTUMA

1. VERİ TABANI KAVRAMLARI VE VERİ TABANI OLUŞTUMA BÖLÜM15 D- VERİ TABANI PROGRAMI 1. VERİ TABANI KAVRAMLARI VE VERİ TABANI OLUŞTUMA 1.1. Veri Tabanı Kavramları Veritabanı (DataBase) : En genel tanımıyla, kullanım amacına uygun olarak düzenlenmiş veriler

Detaylı

İşletim Sistemleri (Operating Systems)

İşletim Sistemleri (Operating Systems) İşletim Sistemleri (Operating Systems) 1 İşletim Sistemleri (Operating Systems) Genel bilgiler Ders kitabı: Tanenbaum & Bo, Modern Operating Systems:4th ed., Prentice-Hall, Inc. 2013 Operating System Concepts,

Detaylı

4-1. Ön Kontrol Paneli

4-1. Ön Kontrol Paneli 4-1. Ön Kontrol Paneli 1 Açma/Kapama(ON/OFF) Düğmesi.! Fan motoru termostat kontrollü olduğu için sadece soğutma gerektiğinde çalışır.! Su soğutma ünitesi otomatik kontrollüdür, sadece gerektiğinde çalışır.

Detaylı