Logical signals. Active high or asserted logic. Logic threshold, yaklasik 1.4 volts. Read H&P sections B.3, B.4, B.5 Read H&P sections 5.1 and 5.

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "Logical signals. Active high or asserted logic. Logic threshold, yaklasik 1.4 volts. Read H&P sections B.3, B.4, B.5 Read H&P sections 5.1 and 5."

Transkript

1 Logical signals Read H&P sections B3, B4, B5 Read H&P sections 5 and 52 5 Voltage Logic threshold, yaklasik 4 volts Time (nsec) 2/6/24 Bilgisayar imarisi 2 2/6/24 Bilgisayar imarisi 22 Active high or asserted logic Signal name is SelectA 5 Logic value Voltage Logic value Time (nsec) 2/6/24 Bilgisayar imarisi 23 Inverter icin, Eger input voltage threshold Un altinda ise output yaklasik 3 volt olur Eger input voltage threshod un altinda ise output yaklasik 4 volt olur Vin Vout Time 2/6/24 Bilgisayar imarisi 24

2 lock Oscillator Volts Rising edge Falling edge Oscillator (in computers): Tekrarli clock pulse larini ureten logic devreye oscillator denir Ayni zamanda clock oscillator de denir ycle period Time 2/6/24 Bilgisayar imarisi 25 2/6/24 Bilgisayar imarisi 26 Guc verildiginde gecici olarak unstable olur aha sonra cok hizli olarak stable hale gelir Sonuc unstable aima durum degistirir (oscillate) 2/6/24 Bilgisayar imarisi 27 2/6/24 Bilgisayar imarisi 28

3 clock circuit un peryodunun ayari lock Ground apacitor switching hizini yavaslatir Boylece clock pulse larin freakansini kontrol eder Frekans birimi: Hertz Bir saniyedeki cycle sayisi Ismini 9 Yuzyil Alman fizikcisi Heinrich Rudolph Hertz den alir 2 hz lik clock frequency, saniyede clock pulse un 2,, kez yukseldigini belirtir 2/6/24 Bilgisayar imarisi 29 2/6/24 Bilgisayar imarisi 2 state ve clock S-R latch (set-reset latch) State: a stored value lock: repeated pulse State clock pulse larinda degisir Sadece clock un rising veya falling edge in de degisebilen storage tanimlayalim Other inputs lock ombinatorial logic State register Take S R S R Ayni Belirsiz Ayni Belirsiz 2/6/24 Bilgisayar imarisi 2 2/6/24 Bilgisayar imarisi 22

4 NOR gateleri ile gerceklestirilren latch -latch lock input ise outputlar, ve degismez Eger clock ise nin degeri ya yansitilir latch, oldugu zaman 2/6/24 Bilgisayar imarisi input ya yansir 23 2/6/24 Bilgisayar imarisi 24 latch latch Registerlar flip-flop larin paketlenmesi sonucu olusabilir () () Flip-flop: falling-edge triggered -flip-flop Ilk latch master olarak, adlandirilir ve nin degerini clock oldugu zaman ikinci flip-flop (slave) e aktarir Bu anda ikinci flip-flop kapalidir lock oldugunda master kapanir fakat slave acilir ve masterin output unu slave in output una yansitilir () lock () 2/6/24 Bilgisayar imarisi 25 2/6/24 Bilgisayar imarisi 26

5 oncepts of state and clock State: a stored value lock: repeated pulse State changes each clock pulse Other inputs lock ombinatorial logic State register Take Tri-state gates Simdiye kadar gate lerin binary oldugunu gorduk Bir gate bir den fazla gate e input saglayabilir Fakat gate lerin output lari birbirine baglanamaz A B Y 2/6/24 Bilgisayar imarisi 27 Eger A = ve B = ise ne olur? 2/6/24 Bilgisayar imarisi 28 gate output lari ne amacla birbirine baglanir? Bus olusturmak Large memory circuit olusturmak Bus icin sonraki slide a bak emory konusu daha sonra aciklanacak Both ALU and emory must put data on the bus IR Opcode R R2 Select R3 U X Ta ALU General Registers Tb P AR Address U X E ata 2/6/24 Bilgisayar imarisi 29 2/6/24 Bilgisayar imarisi 22

6 Hangi device in data saglayacaginin secimi Herhangi bir anda hangi birden fazla device dan hangisinin veri saglanacaginin belirlenmesine ihtiyac duyabiliriz Bu esnada sadece secilen device in output u aktif olmali, diger device larin outputlari etkin olmamali Tri-state gates bua imkan saglar -- sonraki slide A Tri-state gates oldugunda gate normal kullanimda oldugunda gate paif hale gecirilir (cikisi high-impedance), ve yokmus gibi davranis gosterir Y 2/6/24 Bilgisayar imarisi 22 2/6/24 Bilgisayar imarisi 222 Register File Read register number Read register number 2 Write register Write data Register File Write Read data Read data 2 Register File register # larla addreslenmek suretiyle okunabilen ve yazilabilen bir grup register icerir Read ve Write portlari multiplexer larla gerceklestirilir, registerlar is flip-flop larindan olusur Read register number Read register number 2 Register Register Register n-2 Register n- u x u x Read data Read data 2 Iki read write portuna sahip Register file 2/6/24 Bilgisayar imarisi 223 Iki read port un gerceklenmesi 2/6/24 Bilgisayar imarisi 224

7 write Register number Register data n to decoder n-2 n- Register Register Register n-2 Register n- 2/6/24 Bilgisayar imarisi 225 Write port un gerceklenmesi A Tri-state gates, non-inverting Y Buffer Herhangi birinin buffer a yazilmasini saglar 2/6/24 Bilgisayar imarisi 226 Tree-states buffer larla bus gerceklenmesi A A B Both ALU and emory must put data on the bus Opcode IR R ALUtoBus R2 Select R3 Ta Buffer ALU Tb P AR Address U X emtobus E B U X General Registers ata Bazen wired-or function olarak adlandirilir 2/6/24 Bilgisayar imarisi 228 2/6/24 Bilgisayar imarisi 227

8 emory Bu modullerden cok sayida ihtiyacimiz var 6 Addr Take 64K x 8 emory operation Address line lari address leme icin kullanilir line devreyi turn on (acar) eder Verinin data bus a yazilmasini saglar 8 ata bus (bi-directional) 2/6/24 Bilgisayar imarisi 229 2/6/24 Bilgisayar imarisi 23 ecoder 4 address lines lari 6 cell den birinin secilmesini saglar 64K bytes 64K AN gate e ihtiyac gosterir memory chip yeniden organize et Kod cozmeyi (decoding) row lar icin ve column lar icin olmak uzere ikiye ayir Wired-or function kullanilmak suretiyle output lar birlestirilir Sonuc: chip icerisinde megabit buyuklugunde memory Organization on next slide 2/6/24 Bilgisayar imarisi 23 2/6/24 Bilgisayar imarisi 232 Pratik degil

9 4 x 2 SRA in[] Write enable Word line Bit line Address latch latch in[] latch latch Address [4-6] 9:52 decoder 32K x 8 SRA in 52 x 64 luk SRA lerle tasarimi 52 x x x x x x x x 64 2:4 decod 4 latch latch Address [5-] ux ux ux ux ux ux ux ux latch latch 3 2/6/24 Bilgisayar imarisi 233 out[] out[] out 7 out 6 out 5 out 4 out 3 out 2 out out 9:52 decoder 52 x 64 array ler icin address uretir Her bir 52 x 64 array icin bir 64: lik ux 64 bit ten bit secer Eger iki asamali address leme olmasaydi 5:32K lik buyuk bir decoder kull anilacakti 2/6/24 Bu durum address logic inin Bilgisayar daha yavas imarisi olmasini sebep olacakti Kx8 Static RA in rows and columns SB Addr(6-7) Addr(6-) LSB 7 Wired or ile 24 bit ayni output line i paylasir - K decoder Kx ux 7 [8 column] Kx ux 2/6/24 Bilgisayar imarisi Static RA Static: guc kesilmedigi surece RA in icerigi aynen saklanir ache memory ler Static RA grubuna girer Static RA in her bir bit I icin 4 (6) transistor a ihtiyac var 28K x 8 static RA yaklasik 4 million transistor gerektirir 2/6/24 Bilgisayar imarisi 236

10 Word line ynamic RA Word line Read-only memory (RO) Ground Bit line bit icin transistor ve bir capacitor e ihtiyac duyar ynamic: apacitor dolu oldugu surece icerik korunur, fakat zamanla icerik kaybolur Bir kac mili saniyede bir bit ler okunarak tekrar yazilir (capacitor rechrge edilir 2/6/24 Bilgisayar imarisi x 8 ynamic RA milyon transistor e ihtiyac duyar Fuse Bit line Ground Requires transistor plus one fuse per bit of storage, Fuse link is left intact or burned to put data into the memory ata placed into the memory when it is manufactured There are programmable versions called PROs, with special RO Burners to burn individual links 2/6/24 Bilgisayar imarisi 238 RO non-volatile Volatile: Guc kesildiginde icerik kaybolur Hem static RA (SRA) ve hem de dynamic RA (RA) volatile memorilerdir RO non-volatile memory dir Guc kesildiginde daima icerigi korur Bu yuzden bootstrap islemleri icin kullanilir RO bootstrap Bilgisayar acildiginda RO daki program calismaya baslar Bootstrap diger programlari diskten memory e yukler 2/6/24 Bilgisayar imarisi 239 2/6/24 Bilgisayar imarisi 24

Onluk duzende toplama. Lecture 4. Addition and Subtraction. Onluk tabanda toplama

Onluk duzende toplama. Lecture 4. Addition and Subtraction. Onluk tabanda toplama Lecture 4 Oku H&P sections 4.3-4.5 ddition and Subtraction CPU daki circuit (devrelerle) gerceklestirilir Bu is icin devreler nasil dizayn edilir? Bilgisayar Mimarisi 4.1 Bilgisayar Mimarisi 4.2 Onluk

Detaylı

D Duplex : Alıcı + Verici Çalışma Debouching : DMA : Direct Memory Access Data-Bus : Data Veri Yolu Data Flow : Veri Akışı Data Processing : Veri

D Duplex : Alıcı + Verici Çalışma Debouching : DMA : Direct Memory Access Data-Bus : Data Veri Yolu Data Flow : Veri Akışı Data Processing : Veri A: Access time : Erişim Zamanı Active High : Aktif Yüksek Active Low : Aktif Düşük Adress : Adres Address Bus : Adres Yolu Adress Decoding : Adres Kod Çözümü ALE : Adress Latch Enable Architecture : Mimari

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 3 Yarı İletken Bellekler Bellek Birimi Bellek içerisinde veri saklayan aygıttır. Veriler bir bit ile 8 bit genişliğinde bellekte saklanabilir.

Detaylı

Memory. Amac. Baslangictan beri programcilar unlimited hizli memory ler hayal etmisler 1972, PDP-11/20. Oku H&P sections 7.1, 7.2

Memory. Amac. Baslangictan beri programcilar unlimited hizli memory ler hayal etmisler 1972, PDP-11/20. Oku H&P sections 7.1, 7.2 Memory Oku H&P sections 7.1, 7. Baslangictan beri programcilar unlimited hizli memory ler hayal etmisler 197, PDP-11/0 64 K lik memory. 600 satirlik Fortran code u ve 16 bit lik 13,000 integer lik veri

Detaylı

8086 nın Bacak Bağlantısı ve İşlevleri. 8086, 16-bit veri yoluna (data bus) 8088 ise 8- bit veri yoluna sahip16-bit mikroişlemcilerdir.

8086 nın Bacak Bağlantısı ve İşlevleri. 8086, 16-bit veri yoluna (data bus) 8088 ise 8- bit veri yoluna sahip16-bit mikroişlemcilerdir. Bölüm 9: 8086 nın Bacak Bağlantısı ve İşlevleri 8086 & 8088 her iki işlemci 40-pin dual in-line (DIP) paketinde üretilmişlerdir. 8086, 16-bit veri yoluna (data bus) 8088 ise 8- bit veri yoluna sahip16-bit

Detaylı

Bölüm Bazı Temel Konseptler

Bölüm Bazı Temel Konseptler Bölüm 7 Bu ve bundan sonraki bölümde, makine komutlarını işleten ve diğer birimlerin faaliyetlerini düzenleyen işlem birimi üzerine yoğunlaşacağız. Bu birim genellikle Komut Seti Mimarisi (Instruction

Detaylı

Lecture 7. Assembler language nedir? Language in 3 seviyesi. Language 3 seviyesi. Nicin onu ogreniriz?

Lecture 7. Assembler language nedir? Language in 3 seviyesi. Language 3 seviyesi. Nicin onu ogreniriz? Lecture 7 Assembler language nedir? Nicin onu ogreniriz? oku sections 3.1-3.4 oku H&P sections A.1 ve A.9 11/25/2004 Bilgisayar Mimarisi 7.1 11/25/2004 Bilgisayar Mimarisi 7.2 Language 3 seviyesi High

Detaylı

Bilgisayar Donanımı. Computer Organization Ders 1 - Giriş Kadir Atilla TOKER

Bilgisayar Donanımı. Computer Organization Ders 1 - Giriş Kadir Atilla TOKER Bilgisayar Donanımı Computer Organization Ders 1 - Giriş Kadir Atilla TOKER Bilgisayar Donanımı CPU-İşlemci Memory-Bellek Giriş/Çıkış - Input/Output 2 Bilgisayar Donanımı 3 Bilgisayar Donanımı 4 Clock

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Giriş Mikro işlemler Fetch cycle Indirect cycle Interrupt cycle Execute cycle Instruction

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SYISL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı ÖLÜM 3 Mantık Geçitleri Değil (Inverter) Geçidi İnverter geçidi oolean NOT işlemini yapar. Giriş YÜKSEK olduğunda çıkışını DÜŞÜK, giriş DÜŞÜK

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 12. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar REGİSTERS (KAYDEDİCİLER) Akümülatör (Accumulator-ACC) lü Paralel Toplayıcı Shift Register (Kayma Registeri)

Detaylı

Bir devrede bellek elemanı olarak kullanılmak üzere tutucuları inceledik.

Bir devrede bellek elemanı olarak kullanılmak üzere tutucuları inceledik. Flip-Flop Bir devrede bellek elemanı olarak kullanılmak üzere tutucuları inceledik. Tutucular bazı problemlere sahiptir: Tutucuyu ne zaman enable yapacağımızı bilmeliyiz. Tutucuyu çabucak devredışı bırakabilmeliyiz

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Processor organization Register organization Instruction cycle 2 Processor organization İşlemci

Detaylı

R-2R LADDER SWITCHES 8-BIT DAC SUCCESSIVE APPROXIMATION REGISTER 3-STATE BUFFERS

R-2R LADDER SWITCHES 8-BIT DAC SUCCESSIVE APPROXIMATION REGISTER 3-STATE BUFFERS MİKROİŞLEMCİ UYUMLU A/D VE D/A ÇEVİRİCİLER A/D ve D/A çeviricilerin pratikte sıkça kullanılan türlerinden biri de mikroişlemci uyumlu olanlarıdır. Şekil.'de ZN8 D/A çeviricinin çalışma prensip şeması verilmiştir.

Detaylı

(Random-Access Memory)

(Random-Access Memory) BELLEK (Memory) Ardışıl devreler bellek elemanının varlığı üzerine kuruludur Bir flip-flop sadece bir bitlik bir bilgi tutabilir Bir saklayıcı (register) bir sözcük (word) tutabilir (genellikle 32-64 bit)

Detaylı

Spatial locality nin getirdigi avantaji kullanmak

Spatial locality nin getirdigi avantaji kullanmak Oku H&P section 7.3 Spatial locality nin getirdigi avantaji kullanmak Daha buyuk block (line) kullan Her bir fetch ile gelecekte yakin komsuluktaki erisimler icin data cache bulunacak Daha fazla hit e

Detaylı

Bir devrede bellek elemanı olarak kullanılmak üzere latch leri inceledik.

Bir devrede bellek elemanı olarak kullanılmak üzere latch leri inceledik. Flip-Flop lar Bir devrede bellek elemanı olarak kullanılmak üzere latch leri inceledik. Latch ler bazı problemlere sahiptir: Latch i ne zaman enable yapacağımızı bilmeliyiz. Latch i çabucak devredışı bırakabilmeliyiz

Detaylı

Bilgisayarların Gelişimi

Bilgisayarların Gelişimi Bilgisayarların Gelişimi Joseph Jacquard (1810) Bilgisayar tabanlı halı dokuma makinesi Delikli Kart (Punch Card) Algoritma ve Programlama 6 Bilgisayar Sistemi 1. Donanım fiziksel aygıtlardır. 2. Yazılım

Detaylı

x86 Ailesi Mikroişlemciler ve Mikrobilgisayarlar

x86 Ailesi Mikroişlemciler ve Mikrobilgisayarlar x86 Ailesi 1 8085A,8088 ve 8086 2 Temel Mikroişlemci Özellikleri Mikroişlemcinin bir defade işleyebileceği kelime uzunluğu Mikroişlemcinin tek bir komutu işleme hızı Mikroişlemcinin doğrudan adresleyebileceği

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 9. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar FLIP FLOPS S-R: Set-Reset Latch (Tutucu) Tetiklemeli D Latch (Tutucu) Kenar Tetiklemeli D Flip-Flop S-R

Detaylı

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber FPGA ile Kablosuz Görüntü Aktarımı Yusuf Onur Koçberber Seminer 2009 Yaz Plan FPGA Genel bilgiler FPGA nın İç Yapısı, Nasıl Programlanabiliyor?, Nasıl Çalışıyor? Neden bu kadar popüler oldu? MPW Her şeyin

Detaylı

Compact IP20 multiprotocol Ethernet I/O module 16 universal digital channels FEN20-16DXP

Compact IP20 multiprotocol Ethernet I/O module 16 universal digital channels FEN20-16DXP EtherNet/IP slave Modbus TCP slave PROFINET slave 2 RJ45 ports for the Ethernet connection Integrated Ethernet switch 10/100 Mbps, DI / DO 24 VDC, PNP Output current: 1.0 A Protection class IP20 Tip kodu

Detaylı

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur.

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur. HDL Dilleri HDL(Donanım Tanımlama Dili); tasarımın, HDL dillerinden her hangi bir tanesinin kullanılarak yapılmasıdır. HDL bir donanım parçasını modellemek için kullanılan yazılım dilidir. VHDL ile Verilog

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar)

Detaylı

Y.Doç.Dr.Tuncay UZUN 6. Ardışıl Lojik Devreler 2. Kombinezonsal devre. Bellek. Bellek nedir? Bir bellek şu üç önemli özelliği sağlamalıdır:

Y.Doç.Dr.Tuncay UZUN 6. Ardışıl Lojik Devreler 2. Kombinezonsal devre. Bellek. Bellek nedir? Bir bellek şu üç önemli özelliği sağlamalıdır: 6.ARDIŞIL LOJĐK DEVRELER 6.1.Ardışıl Lojik Devre Temelleri SR Tutucu Flip-Flop(FF) Saat, Kenar tetikleme D FF, JK FF, T FF 6.2.Ardışıl Devrelerin Analizi Moore modeli: Çıkışlar= f(şimdiki durum) Mealy

Detaylı

Multiplication/division

Multiplication/division Multiplication/division Oku H&P sections 4.6-4.8 Bir kac integer multiplication algorithm Bir integer division algorithms Floating point math 10/22/2004 Bilgisayar Mimarisi 6.1 10/22/2004 Bilgisayar Mimarisi

Detaylı

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar) Bus

Detaylı

MİKROİŞLEMCİLER 1 Ders 1

MİKROİŞLEMCİLER 1 Ders 1 MİKROİŞLEMCİLER 1 Ders 1 Ders Kitabı: The 80x86 IBM PC and Compatible Computers Assembly Language, Design, and Interfacing Muhammad ali Mazidi, Janice Gillipsie Mazidi Öğr.Gör. Mahmut YALÇIN 09.03.2011

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 1 Sayısal Kavramlar Analog ve Sayısal Sistemler 3 Gününüzde bir çok elektronik sistem sayısal ve analog devrelerin birleşiminden oluşur.

Detaylı

BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü

BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü Bu derste! Büyük, karmaşık sayısal sistemlerin tasarımı ele alınacaktır. ASM ve ASMD çizgeleri Tasarım Örnekleri

Detaylı

Ders hakkında" İletişim" Bu derste" Bellek" 12/3/12. BBM 231 Zamanuyumlu dizisel devreler (synchronous sequential logic)"

Ders hakkında İletişim Bu derste Bellek 12/3/12. BBM 231 Zamanuyumlu dizisel devreler (synchronous sequential logic) 2/3/2 ers hakkında" ykut Erdem aykut@cs.hacettepe.edu.tr Oda: el: 297 75 / 46 Ofis Saati: Carşamba 5:-6: M 23 Zamanuyumlu dizisel devreler (synchronous sequential logic)" etbook: Mano and Ciletti, igital

Detaylı

Bellekler. Mikroişlemciler ve Mikrobilgisayarlar

Bellekler. Mikroişlemciler ve Mikrobilgisayarlar Bellekler 1 Bellekler Ortak giriş/çıkışlara, yazma ve okuma kontrol sinyallerine sahip eşit uzunluktaki saklayıcıların bir tümdevre içerisinde sıralanmasıyla hafıza (bellek) yapısı elde edilir. Çeşitli

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 2 Kayar Yazaçlar 23.02.2015 Sayısal Tasarım 3 Kayar Yazacın Çalışma Şekilleri Kayar yazaç flip-flopların veri saklamak ve veri taşımak

Detaylı

Von Neumann Mimarisi. Mikroişlemciler ve Mikrobilgisayarlar 1

Von Neumann Mimarisi. Mikroişlemciler ve Mikrobilgisayarlar 1 Von Neumann Mimarisi Mikroişlemciler ve Mikrobilgisayarlar 1 Sayısal Bilgisayarın Tarihsel Gelişim Süreci Babage in analitik makinası (1833) Vakumlu lambanın bulunuşu (1910) İlk elektronik sayısal bilgisayar

Detaylı

GİRİŞ-ÇIKIŞ (INPUT / OUTPUT) ORGANİZASYONU

GİRİŞ-ÇIKIŞ (INPUT / OUTPUT) ORGANİZASYONU GİRİŞ-ÇIKIŞ (INPUT / OUTPUT) ORGANİZASYONU GİRİŞ / ÇIKIŞ ARA YÜZEYİ (I/O ARA YÜZEYİ) G/Ç ara yüzeyi bilgisayarda bulunan bilgilerin dış G/Ç aletleri arasında aktarmanın yapılması için bir yöntem sunar.

Detaylı

BM 375 Bilgisayar Organizasyonu Dersi Vize Sınavı Cevapları 10 Nisan 2009

BM 375 Bilgisayar Organizasyonu Dersi Vize Sınavı Cevapları 10 Nisan 2009 1-) Instruction Cycle State Diagram ı çizip herbir state için gerçekleştirilen işlemleri detaylı bir şekilde açıklayınız. Instruction state cycle da üstteki kısımlar CPU dışında alttaki kısımlar CPU içinde

Detaylı

Compact IP20 multiprotocol Ethernet I/O module 4 digital inputs, 4 universal digital channels FEN20-4DIP-4DXP

Compact IP20 multiprotocol Ethernet I/O module 4 digital inputs, 4 universal digital channels FEN20-4DIP-4DXP FLC/ARGEE programlanabilir EtherNet/IP slave Modbus TCP slave PROFINET slave 2 RJ45 ports for the Ethernet connection Integrated Ethernet switch 10/100 Mbps 4 digital inputs 4 universal digital channels,

Detaylı

Geçtiğimiz hafta# Dizisel devrelerin tasarımı# Bu hafta# Örnek: Sekans algılayıcı# Örnek: Sekans algılayıcı# 12/11/12

Geçtiğimiz hafta# Dizisel devrelerin tasarımı# Bu hafta# Örnek: Sekans algılayıcı# Örnek: Sekans algılayıcı# 12/11/12 2//2 Geçtiğimiz hafta# İL 2 Dizisel Devrelerin Tasarımı ve Yazmaçlar ve Sayaçlar (Registers and Counters)# Dizisel devreler (sequential circuits) Mandallar (latches) İkidurumlular (flip-flops) Dizisel

Detaylı

Data Communications. Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü. 5. Analog veri iletimi

Data Communications. Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü. 5. Analog veri iletimi Veri İletişimi Data Communications Suat ÖZDEMİR Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü 5. Analog veri iletimi Sayısal analog çevirme http://ceng.gazi.edu.tr/~ozdemir/ 2 Sayısal analog çevirme

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 5 ADC, Analog Sayısal Dönüştürücüler Analog İşaretler Elektronik devrelerin giriş işaretlerinin büyük çoğunluğu analogtur. Günlük yaşantımızda

Detaylı

SAYISAL ANAHTARLAMA SLIC. Süzgeçleme Örnekleme Kuantalama. Uniform Uniform olmayan. Kodlama ADPCM. Çoğullama TDM- PCM. PCMo

SAYISAL ANAHTARLAMA SLIC. Süzgeçleme Örnekleme Kuantalama. Uniform Uniform olmayan. Kodlama ADPCM. Çoğullama TDM- PCM. PCMo SAYISAL ANAHTARLAMA SLIC TX Örnekleme Kuantalama Kodlama PCMo Sayısal RX Süzgeçleme Kod Çözme PCMi Anahtarlama Temel sayısal anahtarlama yapısı Süzgeçleme Örnekleme Kuantalama Kodlama Uniform Şıkıştırma

Detaylı

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ İçerik Mikroişlemci Sistem Mimarisi Mikroişlemcinin yürüttüğü işlemler Mikroişlemci Yol (Bus) Yapısı Mikroişlemci İç Veri İşlemleri Çevresel Cihazlarca Yürütülen İşlemler

Detaylı

DSPIC30F2010 ASSEMBLER PROGRAMI İÇERİSİNDE KONFİGÜRASYON BİTLERİNİ TANIMLAMA

DSPIC30F2010 ASSEMBLER PROGRAMI İÇERİSİNDE KONFİGÜRASYON BİTLERİNİ TANIMLAMA HAZIRLAYAN: Ramazan Muhammet TULAY DSPIC30F2010 ASSEMBLER PROGRAMI İÇERİSİNDE KONFİGÜRASYON BİTLERİNİ TANIMLAMA BU ÇALIŞMA, DSPIC30F2010 VEYA HERHANGİBİR DSPIC MİKRODENETLEYİCİSİNİ ASSEMBLER İLE PROGRAMLARKEN,

Detaylı

İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUARI MİKROİŞLEMCİLİ A/D DÖNÜŞTÜRÜCÜ

İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUARI MİKROİŞLEMCİLİ A/D DÖNÜŞTÜRÜCÜ İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUARI MİKROİŞLEMCİLİ A/D DÖNÜŞTÜRÜCÜ 1. Giriş Analog işaretler analog donanım kullanılarak işlenebilir. Ama analog

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 8. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar MULTIPLEXERS (VERİ SEÇİCİLER), ÜÇ DURUMLU BUFFERS, DECODERS (KOD ÇÖZÜCÜLER) BELLEK ELEMANLARI 2 8.2.

Detaylı

Bu derste" Bellek" Rastgele erişimli bellek (RAM)" 2 k n bit lik bellek" 1024 16 bit lik bellek" 12/19/12

Bu derste Bellek Rastgele erişimli bellek (RAM) 2 k n bit lik bellek 1024 16 bit lik bellek 12/19/12 /9/ M ellek ve Programlanabilir Mantık (memory and logic)" Hacettepe Üniversitesi ilgisayar Müh ölümü u derste" astgele erişimli bellek (random-access memory (AM)) Salt okunur bellek (read-only memory

Detaylı

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü MANTIK DEVRELERİ TASARIMI LABORATUVARI DENEY FÖYLERİ 2018 Deney 1: MANTIK KAPILARI VE

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 6 DAC, Sayısal Analog Dönüştürücüler DAC Sayısal Analog Dönüştürücüler Analog sayısal dönüşümün tersini gerçekleyen elemanlara sayısal

Detaylı

AKE Bulaşık Yıkama Makinası Kontrol Kartı Kullanım Kılavuzu Dishwasher Controller User Manual TR EN

AKE Bulaşık Yıkama Makinası Kontrol Kartı Kullanım Kılavuzu Dishwasher Controller User Manual TR EN Bulaşık Yıkama Makinası Kontrol Kartı Kullanım Kılavuzu Dishwasher Controller User Manual Bulaşık Yıkama Makinası Kontrol Kartı Kullanım Kılavuzu (7 SEG SIMPLE YATAY TİP) AKE-BYM-102 Lütfen bu kullanım

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Operand türleri Assembly dili 2 İşlemcinin yapacağı iş makine komutlarıyla belirlenir. İşlemcinin

Detaylı

Tek Vuruşluk Đşlemci. -- Company : Ege Universitesi, Elektrik-Elektronik Mühendisliği

Tek Vuruşluk Đşlemci. -- Company : Ege Universitesi, Elektrik-Elektronik Mühendisliği Tek Vuruşluk Đşlemci -- Company : Ege Universitesi, Elektrik-Elektronik Mühendisliği Bolumu -- Engineer : MOME TUM Proje Grubu -- Project ame : Tek Vurusluk Islemci -- Module ame : Ana Modul -- Additional

Detaylı

İHTİYAÇ TESLİM PROGRAMI

İHTİYAÇ TESLİM PROGRAMI 001 594527HB90602 RELAY M210J2N003 S7586 EA 1'İNCİ HAVA İKMAL BAKIM MERKEZİ 002 5962014757125 MICROCIRCUIT,MEMORY 142004PC17 94271 EA 1'İNCİ HAVA İKMAL BAKIM MERKEZİ 4 - - - - 5962014757125 5962-8863403UX

Detaylı

BİLGİSAYAR MİMARİSİ. Bilgisayar Bileşenleri Ve Programların Yürütülmesi. Özer Çelik Matematik-Bilgisayar Bölümü

BİLGİSAYAR MİMARİSİ. Bilgisayar Bileşenleri Ve Programların Yürütülmesi. Özer Çelik Matematik-Bilgisayar Bölümü BİLGİSAYAR MİMARİSİ Bilgisayar Bileşenleri Ve Programların Yürütülmesi Özer Çelik Matematik-Bilgisayar Bölümü Program Kavramı Bilgisayardan istenilen işlerin gerçekleştirilebilmesi için gereken işlem dizisi

Detaylı

EEM 306 Mikroişlemciler ve Lab. Doç.Dr. Mehmet SAĞBAŞ

EEM 306 Mikroişlemciler ve Lab. Doç.Dr. Mehmet SAĞBAŞ EEM 306 Mikroişlemciler ve Lab. Doç.Dr. Mehmet SAĞBAŞ 1 Mikrodenetleyici Anatomisi Çevre Birimler (Peripherals) Timers Watchdog Timer Program sonsuz döngüye girdiğinde işlemciyi resetler İletişim Arayüzleri

Detaylı

Ozet. Review pointers (in C) Memory Addressing

Ozet. Review pointers (in C) Memory Addressing Ozet Oku H&P Sections 31 thru 34 (read again) Section A10 Hardware-Software interface Processor nasil calisir Hardware ve software arasinda tradeoffs Show how processor works 11/26/2004 Bilgisayar Mimarisi

Detaylı

Bölüm 3. Sayısal Elektronik. Universal (Genel) Geçitler 10/11/2011 TEMEL MANTIK GEÇİTLERİ. Temel Mantık Geçitleri. Temel Mantık Geçitleri

Bölüm 3. Sayısal Elektronik. Universal (Genel) Geçitler 10/11/2011 TEMEL MANTIK GEÇİTLERİ. Temel Mantık Geçitleri. Temel Mantık Geçitleri // Sayısal Elektronik Elektronik Teknolojisi programı rd. Doç. Dr. Mustafa Engin - ölüm 3 TEMEL MNTIK GEÇİTLERİ Temel Mantık Geçitleri VE (ND) Geçidi VE (OR) Geçidi DEĞİL (NOT) Geçidi Temel Mantık Geçitleri

Detaylı

BBM 231 Zamanuyumlu dizisel devreler (synchronous sequential logic)" Hacettepe Üniversitesi Bilgisayar Müh. Bölümü

BBM 231 Zamanuyumlu dizisel devreler (synchronous sequential logic) Hacettepe Üniversitesi Bilgisayar Müh. Bölümü BBM 23 Zaanuyulu dizisel devreler (synchronous sequential logic)" Hacettepe Üniversitesi Bilgisayar Müh. Bölüü Ders hakkında" Aykut Erde aykut@cs.hacettepe.edu.tr Oda: Tel: 297 75 / 46 Ofis Saati: Carşaba

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ Yrd. Doç. Dr. Mustafa H.B. UÇAR 1 2. HAFTA Yrd. Doç. Dr. Mustafa Hikmet Bilgehan UÇAR Entegre Yapıları Lojik Kapılar Lojik

Detaylı

DERS NOTLARI. Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi

DERS NOTLARI. Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi DERS NOTLARI Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi DERS-2 22.02.2016 Binary Numbers The Computer Number System İkili sayı Sistemi Bilgisayar Sayı Sistemi Sayı sistemleri nesneleri

Detaylı

İŞLETİM SİSTEMİ İşletim sistemi kullanıcıyla bilgisayar donanımı arasında iletişim sağlayan programdır.

İŞLETİM SİSTEMİ İşletim sistemi kullanıcıyla bilgisayar donanımı arasında iletişim sağlayan programdır. İŞLETİM SİSTEMİ İşletim sistemi kullanıcıyla bilgisayar donanımı arasında iletişim sağlayan programdır. Programların ve donanımların kullanılması için bir çalıştırılması platformu oluşturur. Sistemin yazılım

Detaylı

Digital Design Laboratuvar. Dr. Cahit Karakuş, February-2018

Digital Design Laboratuvar. Dr. Cahit Karakuş, February-2018 Digital Design Laboratuvar Dr. Cahit Karakuş, February-2018 Teknik Personelin El Aletleri Takım Çantası Pense, Kargaburun, Yan Keski, Saatçi Tornavida Takımı, Tornavida Takımı, Matkap, Havya Seti, lehim,

Detaylı

SAYISAL MANTIK LAB. PROJELERİ

SAYISAL MANTIK LAB. PROJELERİ 1. 8 bitlik Okunur Yazılır Bellek (RAM) Her biri ayrı adreslenmiş 8 adet D tipi flip-flop kullanılabilir. RAM'lerde okuma ve yazma işlemleri CS (Chip Select), RD (Read), WR (Write) kontrol sinyalleri ile

Detaylı

T.C. RC SERVO MOTOR KONTROLÜ

T.C. RC SERVO MOTOR KONTROLÜ T.C. BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ RC SERVO MOTOR KONTROLÜ İBRAHİM ALİ METİN BİLECİK 30 Mart 2015 T.C. BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ MÜHENDİSLİK

Detaylı

GÖRÜNTÜ SINIFLANDIRMA

GÖRÜNTÜ SINIFLANDIRMA GÖRÜNTÜ SINIFLANDIRMA 2- Açılan pencereden input Raster File yazan kısımdan sınıflandırma yapacağımız resmi seçeriz. 3-Output kısmından işlem sonunda verimizin kayıtedileceği alanı ve yeni adını gireriz

Detaylı

Verilog HDL e Giriş Bilg. Yük. Müh. Selçuk BAŞAK

Verilog HDL e Giriş Bilg. Yük. Müh. Selçuk BAŞAK Verilog HDL e Giriş Bilg. Yük. Müh. Selçuk BAŞAK SelSistem Bilgi ve İletişim Teknolojileri www.selsistem.com.tr Donanım Tanımlama Dilleri - HDL İlk olarak 1977 yılında, ISP(Instruction Set Processor) -

Detaylı

Mikrobilgisayarlar. Mikroişlemciler ve. Mikrobilgisayarlar

Mikrobilgisayarlar. Mikroişlemciler ve. Mikrobilgisayarlar 1 Sayısal Bilgisayarın Tarihsel Gelişim Süreci Babage in analitik makinası (1833) Vakumlu lambanın bulunuşu (1910) İlk elektronik sayısal bilgisayar (1946) Transistörün bulunuşu (1947) İlk transistörlü

Detaylı

Op Amp. Dr. Cahit Karakuş

Op Amp. Dr. Cahit Karakuş Op Amp Dr. Cahit Karakuş Basic Circuits Review Kirchoff s Law Voltage Law: The sum of all the voltage drops around the loop = V in V 1 + V 2 + V 3 = V in Resistance (Ohms Ω) Series Parallel Basic Circuits

Detaylı

PC is updated PS güncellenir

PC is updated PS güncellenir COMPUTER ARCHITECTURE EXAM SOLUTIONS BİLGİSAYAR MİMARİSİ SINAV ÇÖZÜMLERİ QUESTION 1: SORU 1: a) 100 instructions 100 komut: Without pipeline: İş hattı olmadan: 100*30 = 3000 ns Speedup / Hızlanma: = =2.9

Detaylı

Mikroişlemcili Sistemler ve Laboratuvarı

Mikroişlemcili Sistemler ve Laboratuvarı SAKARYA ÜNİVERSİTESİ Bilgisayar ve Bilişim Bilimleri Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemcili Sistemler ve Laboratuvarı Hafta04 : 8255 ve Bellek Organizasyonu Doç.Dr. Ahmet Turan ÖZCERİT

Detaylı

BQ353 Modbus 8x D.Input 8x Röle Cihazı Kullanım Kılavuzu

BQ353 Modbus 8x D.Input 8x Röle Cihazı Kullanım Kılavuzu BQ353 Modbus 8x D.Input 8x Röle Cihazı Döküman Ver: 1.0.0 İçindekiler 1. BQ353 Hakkında 3 2. Cihaz Özellikleri 3 3. Cihaz Görünümü 4 3.1. Besleme Girişi 5 3.2. RS485 Port 5 3.3. Power Led 5 3.4. Address

Detaylı

Mikroçita. Mikroçita Rapor 2:

Mikroçita. Mikroçita Rapor 2: Mikroçita Rapor 2: İşlemci projemizle ilgili olarak hazırlamış olduğumuz bu ikinci raporda öncelikli olarak vhdl kullanarak tasarladığımız işlemcimizin genel çalışmasını ilk rapora göre daha ayrıntılı

Detaylı

Bu derste! BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Yazmaç Aktarımı Düzeyi! Büyük Sayısal Sistemler! 12/25/12

Bu derste! BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Yazmaç Aktarımı Düzeyi! Büyük Sayısal Sistemler! 12/25/12 BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü Bu derste! Büyük, karmaşık sayısal sistemlerin tasarımı ele alınacaktır. ASM ve ASMD çizgeleri Tasarım Örnekleri

Detaylı

BİLGİSAYAR MİMARİSİ. İkili Kodlama ve Mantık Devreleri. Özer Çelik Matematik-Bilgisayar Bölümü

BİLGİSAYAR MİMARİSİ. İkili Kodlama ve Mantık Devreleri. Özer Çelik Matematik-Bilgisayar Bölümü BİLGİSAYAR MİMARİSİ İkili Kodlama ve Mantık Devreleri Özer Çelik Matematik-Bilgisayar Bölümü Kodlama Kodlama, iki küme elemanları arasında karşılıklığı kesin olarak belirtilen kurallar bütünüdür diye tanımlanabilir.

Detaylı

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir.

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir. Bilgisayar Mimarisi İkilik Kodlama ve Mantık Devreleri Yrd.Doç.Dr. Celal Murat KANDEMİR ESOGÜ Eğitim Fakültesi - BÖTE twitter.com/cmkandemir Kodlama Kodlama (Coding) : Bir nesneler kümesinin bir dizgi

Detaylı

ELM019 - Ölçme ve Enstrümantasyon 3

ELM019 - Ölçme ve Enstrümantasyon 3 DAQ - Converters Veri Toplayıcılar Data Acquisition Bir Veri Toplama Sisteminin (DAS) Bileşenleri Bazı tıbbi cihazlar bir hastadan gelen fizyolojik işaretlerin takibini ve analizini yapabilir. Şekildeki

Detaylı

Alvemsis PLC Otomasyon çözümleri. ALVM 21A1 Versiyon: 20015.02 PLC Tip: ALVM 21A1 Traih: 15.02.2015

Alvemsis PLC Otomasyon çözümleri. ALVM 21A1 Versiyon: 20015.02 PLC Tip: ALVM 21A1 Traih: 15.02.2015 Alvemsis PLC Otomasyon çözümleri. ALVM 21A1 Versiyon: 20015.02 PLC Tip: ALVM 21A1 Traih: 15.02.2015 SN:0000001 TEKNİK ÖZELLİKLER Adı Adet Lojik Çıkış (Transistor) Output 8 12..24VDC (Her Çıkış 3 Amp) (8

Detaylı

Sistem Programlama. Seri ve Paralel Port Kullanımı:

Sistem Programlama. Seri ve Paralel Port Kullanımı: Seri ve Paralel Port Kullanımı: PC'lerde ismine seri ve paralel port denilen iki grup haberleşme portu bulunur. Paralel portlar 25 pinli bilgisayar tarafı dişi olan konnektörlerden oluşur. Seri portlar

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6 DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6 16 Bitlik R Tipi İçin ALTERA MAX-PLUS-II VHDL de Tam Tek Saat Veri Yolu Birimi Amaç: Tek-Saat

Detaylı

Delta Pulse 3 Montaj ve Çalıstırma Kılavuzu. www.teknolojiekibi.com

Delta Pulse 3 Montaj ve Çalıstırma Kılavuzu. www.teknolojiekibi.com Delta Pulse 3 Montaj ve Çalıstırma Kılavuzu http:/// Bu kılavuz, montajı eksiksiz olarak yapılmış devrenin kontrolü ve çalıştırılması içindir. İçeriğinde montajı tamamlanmış devrede çalıştırma öncesinde

Detaylı

BL compact Fieldbus Station for PROFIBUS-DP 8 Configurable Digital Channels BLCDP-4M12MT-8XSG-PD

BL compact Fieldbus Station for PROFIBUS-DP 8 Configurable Digital Channels BLCDP-4M12MT-8XSG-PD On-Machine kompakt fieldbus I/O blokları PROFIBUS-DP slave 9.6 kbps 12 Mbps Two 5-pin, reverse-keyed M12 male receptacles for fieldbus connection 2 rotary coding switches for node-address IP 69K M12 I/O

Detaylı

MODBUS MODBUS MODBUS PROTOKOLÜ

MODBUS MODBUS MODBUS PROTOKOLÜ MODBUS MODBUS PROTOKOLÜ 1 İÇİNDEKİLER BÖLÜM 1 MODBUS PROTOKOLÜ...4 1.1 RS485 Bağlantı Hattı / Şeması... 4 1.2 Bilgisayar Bağlantısı... 4 1.3 MODBUS-RTU Protokolünün Yapısı ve Veri Tipleri... 4 1.4 MODBUS-RTU

Detaylı

Digital Design HDL. Dr. Cahit Karakuş, February-2018

Digital Design HDL. Dr. Cahit Karakuş, February-2018 Digital Design HDL Dr. Cahit Karakuş, February-2018 NOT, AND, and OR Gates NAND and NOR Gates DeMorgan s Theorem Exclusive-OR (XOR) Gate Multiple-input Gates Basic Logic Gates and Basic Digital Design

Detaylı

Bilgisayar Programalamaya Giriş

Bilgisayar Programalamaya Giriş Bilgisayar Programalamaya Giriş Doç. Dr. Melih Gunay Bilgisayar Mühendisliği Bölümü 18 Eylül 2014 Network - Ağ Birden fazla bilgisayarın bağlandığı ve veri alışverişi yaptığı ortama denir. Ağ ortamlarında

Detaylı

SELÇUK ÜNĠVERSĠTESĠ MÜHENDĠSLĠK-MĠMARLIK FAKÜLTESĠ ELEKTRĠK-ELEKTRONĠK MÜHENDĠSLĠĞĠ BÖLÜMÜ LOJĠK DEVRE TASARIM DERS NOTLARI

SELÇUK ÜNĠVERSĠTESĠ MÜHENDĠSLĠK-MĠMARLIK FAKÜLTESĠ ELEKTRĠK-ELEKTRONĠK MÜHENDĠSLĠĞĠ BÖLÜMÜ LOJĠK DEVRE TASARIM DERS NOTLARI SELÇUK ÜNĠVERSĠTESĠ MÜHENDĠSLĠK-MĠMARLIK FAKÜLTESĠ ELEKTRĠK-ELEKTRONĠK MÜHENDĠSLĠĞĠ BÖLÜMÜ LOJĠK DEVRE TASARIM DERS NOTLARI Konya- 2012 i KONULAR 1. Ardışıl lojik devreler, senkron ardışıl lojik devreler

Detaylı

İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUVARI KESMELİ GİRİŞ/ÇIKIŞ

İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUVARI KESMELİ GİRİŞ/ÇIKIŞ İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUVARI KESMELİ GİRİŞ/ÇIKIŞ 8259 PIC (Programmable Interrupt Controller) ve 8086 CPU tümleşik devrelerin sinyal akışı

Detaylı

00322 ELECTRICAL MACHINES-II Midterm Exam

00322 ELECTRICAL MACHINES-II Midterm Exam Name : ID : Signature : 00322 ELECTRICAL MACHINES-II Midterm Exam 20.04.2017 S.1) S.2) S.3) S.4) A shunt DC motor is rated 7.5kW, 250 V and is connected to 230V source. The armature resistance is Ra 0.2,

Detaylı

6. Fiziksel gerçeklemede elde edilen sonuç fonksiyonlara ilişkin lojik devre şeması çizilir.

6. Fiziksel gerçeklemede elde edilen sonuç fonksiyonlara ilişkin lojik devre şeması çizilir. 5. KOMBİNEZONSAL LOJİK DEVRE TASARIMI 5.1. Kombinezonsal Devre Tasarımı 1. Problem sözle tanıtılır, 2. Giriş ve çıkış değişkenlerinin sayısı belirlenir ve adlandırılır, 3. Probleme ilişkin doğruluk tablosu

Detaylı

03.03.2014 VERILOG. Modüller

03.03.2014 VERILOG. Modüller VERILOG Modüller Devre bileşenleri module içinde tasarlanır. Modüller hem yapısal hem de davranışsal ifadeleri içerebilir. Yapısal ifadeler lojik kapılar, sayaçlar ve mikroişlemciler gibi devre bileşenlerini

Detaylı

nisantasi.edu.tr ELEKTRİK ELEKTRONİK TERMİNOLOJİSİ SÖZLÜĞÜ

nisantasi.edu.tr ELEKTRİK ELEKTRONİK TERMİNOLOJİSİ SÖZLÜĞÜ Aberration: Sapma, bozunum AC Motor: Alternatif Akım Motoru AC/AC converter: Alternatif Akım Alternatif Akım Dönüştürücü Active Filter: Aktif Süzgeç Active Mixer: Aktif Karıştıcı Active Power: Aktif Güç

Detaylı

Temel Flip-Flop ve Saklayıcı Yapıları. Mikroişlemciler ve Mikrobilgisayarlar

Temel Flip-Flop ve Saklayıcı Yapıları. Mikroişlemciler ve Mikrobilgisayarlar Temel Flip-Flop ve Saklayıcı Yapıları 1 Sayısal alga Şekilleri 1 2 4 3 1. Yükselme Zamanı 2. Alçalma Zamanı 3. Sinyal Genişliği 4. Genlik (Amplitude) 2 Periot (T) : Tekrar eden bir sinyalin arka arkaya

Detaylı

ARDIŞIL DEVRELER. Çıkışlar. Kombinezonsal devre. Girişler. Bellek

ARDIŞIL DEVRELER. Çıkışlar. Kombinezonsal devre. Girişler. Bellek ARDIŞIL DEVRELER Ardışıl Devreler konusunda Temel bellek elemanları Tutucu (Latch) Flip-flop Ardışıl devrelerin analizi Ardışıl devrelerin sentezi Saklayıcı (Register) ve Sayıcı (Counter) gibi çok kullanılan

Detaylı

Compact multiprotocol I/O module for Ethernet 8 digital PNP inputs 8 digital outputs 2 A FGEN-IOM

Compact multiprotocol I/O module for Ethernet 8 digital PNP inputs 8 digital outputs 2 A FGEN-IOM Multiprotocol I/O module for the Ethernet protocols Modbus TCP, Ether- Net/IP und PROFINET PROFINET supports Fast Start-Up (FSU) EtherNet/IP supports QuickConnect (QC) Integrated Ethernet switch FDT/DTM

Detaylı

BÖLÜM 2 SAYI SİSTEMLERİ

BÖLÜM 2 SAYI SİSTEMLERİ İÇİNDEKİLER BÖLÜM 1 GİRİŞ 1.1. Lojik devre içeriği... (1) 1.1.1. Kodlama, Kod tabloları... (2) 1.1.2. Kombinezonsal Devre / Ardışıl Devre... (4) 1.1.3. Kanonik Model / Algiritmik Model... (4) 1.1.4. Tasarım

Detaylı

MANTIK DEVRELERİ LABORATUVARI DENEY FÖYÜ

MANTIK DEVRELERİ LABORATUVARI DENEY FÖYÜ EGE ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ MANTIK DEVRELERİ LABORATUVARI DENEY FÖYÜ HAZIRLAYAN: Yard. Doç. Dr. Özkan AKIN ozkan.akin@ege.edu.tr 2017 İÇİNDEKİLER GENEL

Detaylı

Bölüm 9 A/D Çeviriciler

Bölüm 9 A/D Çeviriciler Bölüm 9 A/D Çeviriciler 9.1 AMAÇ 1. Bir Analog-Dijital Çeviricinin çalışma yönteminin anlaşılması. 2. ADC0804 ve ADC0809 entegrelerinin karakteristiklerinin anlaşılması. 3. ADC0804 ve ADC0809 entegrelerinin

Detaylı

BÖLÜM 8 MANDAL(LATCH) VE FLİP-FLOPLAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır

BÖLÜM 8 MANDAL(LATCH) VE FLİP-FLOPLAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır AYIAL ELETONİ BÖLÜM 8 MANAL(LATCH) VE FLİP-FLOPLA Bu bölümde aşağıdaki konular anlatılacaktır Mandallar(Latches),- Mandalı, Mandalı ontak sıçramasının mandallar yardımı ile engellenmesi Flip-Floplar,-

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 10. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar BELLEK (HAFIZA) DEVRELERİ Belleklerde Kullanılan Terimler ve Yapılan Temel İşlemler Rastgele Erişimli

Detaylı

Quiz:8086 Mikroişlemcisi Mimarisi ve Emirleri

Quiz:8086 Mikroişlemcisi Mimarisi ve Emirleri Öğrenci No Ad-Soyad Puan Quiz:8086 Mikroişlemcisi Mimarisi ve Emirleri S1) 8086 mikroişlemcisi bitlik adres yoluna ve.. bitlik veri yoluna sahip bir işlemcidir. S2) 8086 Mikroişlemci mimarisinde paralel

Detaylı

Bölüm 4 Ardışıl Lojik Devre Deneyleri

Bölüm 4 Ardışıl Lojik Devre Deneyleri Bölüm 4 Ardışıl Lojik Devre Deneyleri DENEY 4-1 Flip-Floplar DENEYİN AMACI 1. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop

Detaylı

AKT 305 Aktüeryal Yazılımlar Ödev 1 Yanıtları Soru 1. Create a vector x with the elements...

AKT 305 Aktüeryal Yazılımlar Ödev 1 Yanıtları Soru 1. Create a vector x with the elements... AKT 305 Aktüeryal Yazılımlar Ödev 1 Yanıtları Soru 1. Create a vector x with the elements... a. 2, 4, 6, 8,...,10 >> [2:2:10] 2 4 6 8 10 b. 10, 8, 6, 4, 2, 0, -2, -4 >> [10:-2:-4] 10 8 6 4 2 0-2 -4 c.

Detaylı