8 ANALOG BİLGİNİN ARABİRİM KARTI İLE BİLGİSAYARLA İZLENMESİ VE RAPORLAMA

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "8 ANALOG BİLGİNİN ARABİRİM KARTI İLE BİLGİSAYARLA İZLENMESİ VE RAPORLAMA"

Transkript

1 T.C MARMARA ÜNİVERSİTESİ TEKNİK EĞİTİM FAKÜLTESİ ELEKTRİK EĞİTİMİ BÖLÜMÜ 8 ANALOG BİLGİNİN ARABİRİM KARTI İLE BİLGİSAYARLA İZLENMESİ VE RAPORLAMA BİTİRME PROJESİ M. Ozan AKI Ercan UYGUN TEZ DANIŞMANI: Yrd. Doç. Dr. Koray TUNÇALP İSTANBUL, 2000

2 T.C MARMARA ÜNİVERSİTESİ TEKNİK EĞİTİM FAKÜLTESİ ELEKTRİK EĞİTİMİ BÖLÜMÜ 8 ANALOG BİLGİNİN ARABİRİM KARTI İLE BİLGİSAYARLA İZLENMESİ VE RAPORLAMA BİTİRME PROJESİ M. Ozan AKI Ercan UYGUN TEZ DANIŞMANI : Yrd. Doç. Dr. Koray TUNÇALP KOMİSYON ÜYELERİ : Öğr. Gör. Fuat BÜYÜKTÜMTÜRK Öğr. Gör. Bülent ORAL KONTROL İMZA : İSTANBUL, 2000

3 TEŞEKKÜR Bu projeyi bize vererek bizim farklı bir proje gerçekleştirmemizi sağlayan ve tez aşamasında bize gereken yolu gösteren,bizden yardımlarını esirgemeyen proje danışmanımız sayın Yrd. Doç. Dr. Koray TUNÇALP e, proje süresince bize her türlü finansal desteği ve malzeme teminini sağlayan, bu konuda bizden yardımlarını esirgemeyen PRESTİJ A.Ş. Genel Müdürü Sayın Hakan UĞUR a, teknik destek ve enformasyon konusunda her türlü yardım ve desteği gösteren sayın Haşim TEPELİOĞLU na, bilgisayar programı için gereken seslendirme aşamasında bizlere yardım eden arkadaşımız Esra BÜYÜR e, yine program içerisinde kullanılan animasyon konusunda yardım eden arkadaşımız Mert KALDIRIM a ve baskı devre işlerinde bizlere destek olan SANEM ELEKTRONİK çalışanlarına teşekkürü bir borç biliriz. I

4 ÖZET Bir bilgisayarla analog verileri okumak,izlemek ve kaydetmek olasıdır. Analog arabirim kartı ile ölçme sisteminden verilerin alınıp bilgisayarda gösterilmesi işlemi farklı aşamalardan oluşur. Bu aşamaları, bir verinin sensörden bilgisayar ekranına gelinceye kadar olan yolculuğuyla açıklamak daha uygundur. Analog arabirim kartına bağlı transdüser, sensörden okuduğu analog bilgiyi 4-20mA standart akım döngüsüne çevirir. Daha sonra bu akım dirençlerle gerilime dönüştürülerek, kanal seçici demultiplexer üzerinden opamp girişine uygulanır. Opamp, girişine gelen gerilimi 12 bitlik analog-dijital dönüştürücünün girişine uygular. Kart üzerinde bulunan mikrokontrolöre bir kanal okuma isteği geldiğinde ilgili kanal demultiplexer ile seçilir ve analog-dijital dönüştürücüden bu kanala ait veriler 12 bitlik senkron seri iletişim hattı üzerinden okunur. Okunan kanal verisine kart cevap kodları eklenerek veri paketlenir ve asenkron seri haberleşme hattı üzerinden gönderilir. Mikrokontrolör çıkışında dijital gerilim seviyelerinde olan haberleşme sinyallerinin seviyeleri, RS485 hat sürücüsü ile yükseltilerek, veri paketleri şeklinde hatta yollanır. Bilgisayar tarafında bulunan RS485/RS232 dönüştürücü ile bu sinyal bilgisayarların kabul edebileceği seviyelere dönüştürülür. Monitör programı, seri porta ulaşan bu veri paketlerini alarak çözümler ve kart cevabı ile kanal verilerini ayırır. İlgili gösterge, kart verisinin içerdiği kanal verisine set edilir. Maksimum minimum sınırlarının kontrolu yapılır, eğer taşma varsa uyarı verilir. Analog arabirim kartının birimleri kabaca şu şekilde gruplanabilir; opamp devresi, adc, mikrokontrolör, mikrokontrolör programı, haberleşme hatları ve bilgisayar programı. Bu çerçevede projede konuya bir giriş teşkil etmesi açısından bilgisayarlı ölçme sistemleriyle ilgili genel bilgiler yazılmış, daha sonra bu arabirim kartının bileşenleri tek tek açıklanmıştır. Son bölümde kart ile okunan analog değerlerin bilgisayar üzerinden izleme ve raporlamasının yapılabileceği bilgisayar programı açıklanmıştır. II

5 ABSTRACT Reading, following and recording for the analog datas are possible by a Personaal Computer. The proces of taking the datas from the measurement system and following in PC consist of very different steps. These steps could be explained by a journey which a data arrives from the sensor to the PC monitor. The transducer connected to the analog interface converts the analog data to 4-20 ma standart current circle. Afterwards, this current is applied to the opamp input through the channel selective demultiplexer being converted to voltage by the resistors. The opamp applies this voltage to 12 bit ADC s input. When a channel reading requiest happens for the microcontroller on the electronic card, the interested channel is selected by the multiplexer, and the datas for this channel is read through 12 bit synchronous series communication line. Adding the answer codes to the read channel data, the data is packed, and this data is sent via the asynchronous series communication line. Being amplified by the RS485 line driver of the communication signals levels in the packs are sent to the line. This signal is converted to the levels which will be accepted for the PC by the RS485/RS232 converter located inside of the PC. The monitor software analyzes the these data packs arriving the series port, and it seperates the channel datas by the card response. The interested indicator is set the channel data which includes the card data. Maximum and minimum borders are controlled, if there is overflow, the warning is given. The analog interface units are = the opamp circuit, ADC, µc, µc software, communication lines and PC software. For this purpose, in order to get an input to the project, the general information about PC instrumentation systemshave been written, later than, these components of the interface has been explained one by one. In the last chapter, the PC software which has been explained viewing of the analog values through PC, reporting of them. III

6 İÇİNDEKİLER Sayfa TEŞEKKÜR ÖZET ABSTRACT İÇİNDEKİLER TABLOLAR VE ŞEKİLLER LİSTESİ I II III IV VI 1. GİRİŞ BİLGİSAYAR VE BİLGİSAYARLI ÖLÇME DÜZENLERİ Bilgisayarlı Ölçme ve Kontrol Sistemlerinin Avantajları ANALOG ARABİRİM KARTI DONANIM YAPISI Seri Arabirim Sitemleri ve Seri Veri İletimi RS232C Standardı RS422 Standardı RS485 Standardı SN75176 Seviye Dönüştürücüsü Analog ve Dijital Dönüştürücü (ADC ) Analog ve Sayısal Sinyaller Analog ve Dijital Sinyallerin Birbirine Dönüşümü TLC2543 ADC si Yapısı Mikrokontrolör Mikrokontrolörler ve Mikroişlemciler Microchip PIC Mikrokontrolörleri PIC16C63 ün Yapısı Osilatör ve Rezonatör Reset ve Besleme Devreleri Watchdog Timer Input / Output ( I/O ) Portları Bellek Organizasyonu PIC16C63 Komut Seti IV

7 4. PIC16C63 ÜN PROGRAMLANMASI PC PROGRAMI Programın Kurulması Monitör Programına Genel Bakış Monitör Programının Tanıtılması Kartlar Menüsü Kartları Doğrula Seçeneği Kayıt Defteri Seçeneği İletişim Menüsü Bağlan Seçeneği Bağlantıyı Kes Seçeneği Port Ayarları Seçeneği Pencere Menüsü Döşe Seçeneği Basamakla Seçeneği Tümünü Kapat Seçeneği Proses Seçeneği Alarm Seçeneği Sistem Seçeneği Çıkış Menüsü Çıkış Seçeneği Program Hakkında Program Alt Pencerelerinin Tanıtılması Proses Penceresi Alarm Penceresi Sistem Penceresi Monitör Programının İlk Defa Çalıştırılması SONUÇ EKLER EK1. PIC16C63 PROGRAMI EK2. MONİTÖR PROGRAMI KAYNAK KODLARI EK2.1. MAINUNIT ÜNİTESİNİN KAYNAK KODU EK2.2. BINARY ÜNİTESİNİN KAYNAK KODU EK2.3. FILEMAN.PAS ÜNİTESİNİN KAYNAK KODU EK2.4. AICPRO.PAS ÜNİTESİNİN KAYNAK KODU KAYNAKLAR ÖZGEÇMİŞLER V

8 TABLOLAR VE ŞEKİLLER LİSTESİ Tablolar Listesi Sayfa 1. Tablo 3.1. RS232C standardı gerilim seviyeleri 8 2. Tablo pin RS232C konnektörü için pin adlandırmaları Tablo pin RS232C konnektörü için pin adlandırmaları Tablo 3.4. RS485 gerilim seviyeleri Tablo 3.5. SN75176 Fonksiyon tablosu 16 6 Tablo 3.6. SN75176 nın giriş gerilimlerine göre çıkış durumları Tablo 3.7. TLC2543 ADC sinin pin adlandırmaları Tablo 3.8. Osilatör tipine göre C1 ve C2 nin belirlenmesi Tablo 3.9. PIC16C63 reset devrelerinin elektriksel özellikleri Tablo PORTA fonksiyonları Tablo PORTB fonksiyonları Tablo PORTC fonksiyonları Tablo PIC16C63 mikrokontrolör için OPCODE tanımlamaları 31 VI

9 Şekiller Listesi Sayfa 1. Şekil 3.1. Analog arabirim kartı 6 2. Şekil 3.2. Asenkron veri iletim biçimi 7 3. Şekil 3.3. RS232C nin elektriksel özellikleri 8 4. Şekil 3.4. RS232C arabiriminin temel çalışması Şekil 3.5. RS422 elektriksel özellikleri Şekil 3.6. RS485 elektriksel özellikleri Şekil 3.7. SN75176 Pin adlandırması Şekil3.8. Rampa tipi ADC nin temel çalışma prensibi Şekil3.9. TLC2543 ADC si Şekil PIC16C63 ün pin isimlendirmeleri Şekil PIC16CXX için kristal osilatör bağlantı şeması Şekil Watchdog Timer blok diyagramı Şekil PIC16C63 için bellek haritası ve yığın Şekil Register adresleri Şekil PIC komutlarının yazılış formatı Şekil 4.1. Analog arabirim kartının akış diyagramı 47 VII

10 1. GİRİŞ 1980 li yılların başında Kişisel Bilgisayar olarak nitelendirilen cihazlar, kullanıma sunulduğunda büyük çoğunlukla veri depolama, hesap tablosu ve kelime işlem uygulamaları çalıştıran gerçek makinalardı. Büyük bir hızla gelişen bilgisayar teknolojisi ile artan depolama kapasitelerinin yanında yeterince hızlı işlem yapabilir duruma geldiklerinde çok çeşitli ve farklı amaçlara hizmet eden grafik tabanlı uygulamalar ve bu uygulamaları çalıştıracak olan grafik tabanlı işletim sistemleri geliştirilmiştir. Aynı zamanda insanların bilgiyi paylaşmaya ihtiyaçları, bilgisayarları kişisel olmaktan çıkaran haberleşme araçlarının da gelişmesine neden olmuştur. Network(ağ) sistemleri, network tabanlı işletim sistemleri ve uygulama programları, BBS(Bulletin Board System) ve sonucunda internet. bilgisayarlarla paralel olarak gelişen bu haberleşme sistemleri birçok cihazı bu haberleşme ağına bağlanmak zorunda bırakmıştır. Otomasyon sistemleri de bundan nasibini almış, kontrol elemanları ve arabirim cihazlarının haberleşmesi için birçok network sistemleri ortaya çıkmış ve bunların bilgisayar ortamına adaptasyonu sağlanmıştır. Bunun sonucunda SCADA, DCS gibi sistemler geliştirilmiştir. Günümüzde, özellikle ülkemizde bu sistemlerin seçim kriterleri veya alternatif uygulamalarının pek bilinmemesi nedeniyle yüksek maliyetli sistemlerle karşı karşıya kalınması, bu tip sistemlerin pek az uygulama alanı bulmasına neden olmuştur. Sadece endüstriyel amaçlara hizmet ettiği düşünülen bu sistemler, yeterince ucuz ve kolay uygulanabilir olduğunda evlerdeki bilgisayarlarda bile uygulama alanı bulacaktır. Bu nedenle bitirme projesi olarak seçilen analog arabirim kartları düşük maliyetli, kolay uygulanabilir ve çok yönlü yazılım desteği ile tasarlanmaya çalışılmıştır. Her birinin üzerinde 8 adet 4-20mA analog girişe sahip olan bu kartlarda, tek bir hat üzerinden 127 adet bağlanabilen, her kartın verileri bilgisayar üzerinden izlenebilen ve kaydedilebilen bir sistem oluşturmaya çalışılmıştır. İleride daha ayrıntılı bilgiler verilecek bu kart üzerinde Microchip firmasına ait PIC16C63 mikrokontrolörünü ve kartların uzun mesafede güvenle haberleşebilmesini sağlamak

11 2 amacıyla RS485 arabirimini kullanılmıştır. Bilgisayar yazılımında ise programın kolay uygulanabilir, sade fakat ihtiyaçlara cevap verebilecek fonksiyonlarla desteklenmiş olmasına çalışılmıştır. Sonuçta ortaya çıkan ürünün piyasada kullanılan pahalı veri toplama sistemlerinin yanında maliyeti kıyaslanırsa, yaptığı işin hiçte az olmadığı ortaya çıkacaktır. Düşüncemiz her sistemin, her cihazın, hatta bir tost makinesinin bile diğer cihaz ve sistemlerle haberleşebilmesi ve denetimlerinin yapılmasına olanak veren bir bilgisayar sistemine bağlanabilmesidir.

12 2. BİLGİSAYAR VE BİLGİSAYARLI ÖLÇME SİSTEMLERİ Bilindiği gibi modern bilgisayar teknolojisinin tarihi 1946 da başlamaktadır. Üç yıllık bir çalışmanın sonucunda Pensillvania Üniversitesinde ilk dijital bilgisayar yapılmıştı. Cihazın ağırlığı 30 tondan fazla olmakla beraber enerji tüketimi çok fazlaydı lı yıllarda bilgisayar üretiminde devrim olmuş ve bu ilk bilgisayarların yerini transistör ve diyotlardan oluşan bilgisayarlar olmuştur. Ölçüm ve bilgisayar teknolojisi ile yakından ilgilenme 1963 ten sonra başlamış ve aynı yıl ilk mini bilgisayar üretilmiştir. Mini bilgisayarların ortaya çıkması, ölçme konusunda bilgisayar kullanımında büyük bir adım olmuştur. Çok kısa zaman öncesine kadar bir ölçme ve kontrol sistemi yükselticiler, röleler, göstergeler gibi elemanlardan oluşmaktaydı. Bunların komple bir kontrol sistemi haline getirilmesi için her sistemin kendine özgü tasarımının olması gerekmekteydi. Günümüzde, yüksek hızlarda işlem yapabilme, veri depolayabilme ve sorgulama, verileri işleme ve paylaşabilme yeteneklerinden dolayı her alanda olduğu gibi ölçme alanında da bilgisayar tercih edilen bir araç durumuna gelmiştir. Bilgisayar içeren ölçme sistemleri birden fazla ölçmeyi aynı anda yapan ve bu ölçmelerle ilgili hesaplamaları yapabilen, çeşitli parametreler arasındaki ilişkileri sayısal veya grafiksel olarak çıkışa verebilen ölçü sistemleridir. O halde bilgisayar, ölçme ve kontrol işlemlerinin çoğunu en az çaba ile çok kısa sürede yazılabilecek duruma getirmektedir. Aynı bilgisayar ile bir borunun içindeki sıvının debisini ölçüp sürekli kaydedebilir, bir üretim makinasının kontrolü yapılabilir veya değişik yerlerden gelen aynı tipteki bilgiler karşılaştırılarak analiz yapılabilir. Tüm bu sistemler arasında bir tek fark vardır, o da her sistem için yazılan komutların farklı olmasıdır. Bilgisayarlı ölçme sistemlerinde temel olarak üç eleman vardır. Bunlardan birincisi; gerekli ölçmeyi yapan ve bilgisayarla uyumlu olarak çalışabilen cihaz, ikincisi; ölçme ile ilgili yazılımı içeren bilgisayar ve üçüncüsü de bilgisayar ile ölçme sistemi arasındaki veri iletimini sağlayan haberleşme sistemidir. Enstrümantasyon ve kontrol için kullanılan bilgisayar sistemlerinin temelinde mikro veya mini bilgisayarlar vardır ve yüksek seviyeli bir dilde programlanırlar. Bu

13 4 yüzden kullanıcı sistemin yaptığı işlerde yazılımla değişiklikler yapabilir. Bu tip sistemler tarafından yapılan işlerden bazıları şöyle sıralanabilir: 1. Cihazlardan veya prosesten alarm sinyallerinin alınması ile birlikte veri toplama, analog ve dijital sinyalleri kaydetme 2. Kaydedilmiş veriler üzerinde kullanıcının kontrolü altında istatistiksel ve sayısal analiz veya birbiriyle ilişkili ölçümlerin elde edilmesi amacıyla hesaplama 3. Proseslerin sıralı işletilmesi ve kontrolu 4. Birtakım analog kontrol cihazlarının ayar noktalarının bilgisayar tarafından izlenip ayarlanması 5. Ölçme sistemindeki parametrelerin optimizasyonu 2.1. Bilgisayarlı Ölçme ve Kontrol Sistemlerinin Avantajları Herhangi bir ölçme veya kontrol sistemi, tasarım aşamasından itibaren tamamlanıncaya kadar çeşitli aşamalardan geçmektedir. Bu aşamalar belirli bir sıra takip eder, birbiriyle çakışmaz ve bu nedenle, projenin süresi bu aşamaların aldığı toplam süredir. Klasik ölçme ve kontrol sistemleriyle bilgisayarlı ölçme ve kontrol sistemlerinin her bir aşama için ayrı ayrı karşılaştırmasını yapılırsa şu sonuçları çıkarılabilir: Tasarım aşamasında; klasik sistemlerle ilgili çalışma yaparken, yapım aşamasına geçmeden önce kontrol elemanları için tek sipariş verilmesi gerektiği için dizayn çalışmalarının tamamlanmaya yakın bir seviyeye gelmiş olması şarttır. Bilgisayarlı bir sisteme ilişkin çalışma yapılırken yapım aşamasından önce belirlenmesi gereken tüm özellikler, bilgisayarın tipi ve büyüklüğü ile I/O (giriş/çıkış) şartlarıdır. Sistemin tasarımı aslında bilgisayar programının yazılmasından ibarettir. Bu çalışma sistemin donanım yapısı ile paralel olarak yürütülebilir ve böylece projenin toplam süresini kısaltır. Konstrüksiyon (kurulum,yapı) aşamasında; klasik kontrol sistemlerinde her elemanın ayrı bir yapısı ve bağlantı devresi vardır. Doğal olarak bu durum, işçilik,zaman ve maliyet yönünden dezavantajlıdır. Bilgisayarlı sistemlerin kurulması ise standart parçaların bir araya getirilmesinden ibarettir.belirli bir amacı gerçekleştirmek için yapılmış ışıklı panolar yerine görüntü birimlerinin kullanılmasında,

14 5 proses kontrolünün röleler ve kontrol elemanları yerine programlarla yapılmasında şüphesiz maliyetten tasarruf sağlanmaktadır. Ayrıca, Bilgisayar sistemi, klasik sistemlerden daha düzenlidir. İşletmeye alma aşamasında; herhangi bir karmaşık sistemin ilk çalıştırıldığı zaman iyi performans vermesi çok zordur. Muhtemelen bazı tasarım hataları olacaktır. Bilgisayar kullanılmayan klasik sistemlerde hataların arındırılması ve düzeltilmesi çok güçtür. İşletmeye alma sırasında, dizayn hataları kaydedilmediği için dökümantasyonda eksiklikler olabilir. Bilgisayar programlarında ise, yapısal özelliklerinden dolayı dökümantasyon daima güncel durumda tutulabilir. Bakım aşamasında; bilgisayar sistemleri çok kolay bakım yapılabilir özelliktedir ve arıza bulmakla görevli işinin bilgisayar konusunda çok fazla bilgi sahibi olmasına gerek yoktur. Bilgisayarlı sistemlerde arızaların büyük çoğunluğu bilgisayarın kendisinde değil, bir takım çevresel cihazlarda olmaktadır.

15 3. ANALOG ARABİRİM KARTI Daha önce açıklandığı gibi, bilgisayarlı ölçme sistemlerinde üç temel eleman vardır. Bunlardan biri gerekli ölçmeyi yapan ve bilgisayarla haberleşebilen cihaz, ikincisi bilgisayar programı ve üçüncüsü de bilgisayar ile cihaz arasındaki iletişimi sağlayacak olan haberleşme sistemidir. Bu projede yapılan arabirim kartı da ölçmeyi yapan ve bilgisayara bağlanabilen cihazdır. Bir arabirim kartında bulunması gereken temel elemanlar kısaca bir analog dijital konvertör (ADC), veri iletimi için bir arayüz (RS485 veya RS232 gibi) ve ana eleman olan mikrokontrolör olarak sıralanabilir. Bu bölümde analog arabirim kartının donanım kısmını oluşturan bu elemanların yapıları, bağlantıları ve çalışma şekillerine değinilmiştir. Analog arabirim kartının temel bileşenleri ve baskı devresi şekil 3.1 de görülmektedir. a) Temel bileşenler b) Baskı devresi Şekil 3.1. Analog arabirim kartı

16 Seri Arabirim Sistemleri ve Seri Veri İletimi Bilgisayarlı ölçme ve kontrol sistemlerinde herhangi iki modülün veya modüllerle bilgisayarın birlikte çalışabilmesi için bunların birbirleriyle ve bilgisayarla haberleşmeleri gereklidir. Cihazlar birbirleriyle haberleşirken birbirlerini sistemli bir şekilde etkileyebilmeleri için ortak bir haberleşme tekniği kullanmak zorundadır. Bu teknikler genelde iki ana başlık altında toplanırlar. Bunlar seri ve paralel arabirimler olarak adlandırılır. Paralel arabirimde verileri içeren bitler arabirim üzerinde paralel hatlardan aynı anda iletilirler. Her bit için ayrı hat kullanılır. Seri arabirime oranla daha hızlı çalışır. Yalnız burada her bir hat için alıcı-verici gereklidir. Seri arabirimlerde veriler tek hat üzerinden iletilirler. Seri arabirimler senkron veya asenkron olabilirler. Senkron veri iletiminde veri bit hızı verici ve alıcıdaki saatler tarafından belirlenir, bu yüzden de sabit hızdadır. Asenkron sistemler genellikle düşük hızlı terminallerde (1200 bit/saniyeden düşük hızlarda) kullanılır. Sadece veri iletileceği zaman çalışırlar. Önce başlama biti, arkasından veri bitleri ve ondan sonra da bitiş (durdurma) biti gelir. Başlatma biti her zaman lojik 0 düzeyindedir. Durdurma biti ise her zaman için lojik 1 düzeyinde olur. Aşağıdaki şekilde asenkron veri iletim biçimi görülmektedir. Şekil 3.2. Asenkron veri iletim biçimi Donanım ara bağlantılarının neden olduğu çeşitli sorunları ortadan kaldırmak amacıyla seri veri iletiminde de bir takım standartlar uygulanmaktadır. Bu standartlardan en çok kullanılanlarına RS232C, RS422, RS485, IEEE488 örnek olarak verilebilir. Bu standartlar çok kullanılan standartlar oldukları için burada bunlardan bahsetmek faydalı olacaktır.

17 RS232C Standardı RS232C standardı bir donanımın iki parçası arasında elektriksel topraklama, veri değişimi, kontrol ve zamanlama sinyalleri elde etmek amacıyla kullanılan 9 pinli veya 25 pinli bir fiş ve soketin uçlarını isimlendirmek suretiyle bir elektromekanik arabirim tanımlar. Şekil 3.2. de RS232C nin elektriksel özellikleri görülmektedir.kablonun terminal yük kapasitansı kablo kapasitansı ile birlikte 2500 pf olarak belirlenmiştir. Sonlandırma ucundaki empedans 3000 Ω ile 7000 Ω arasında, çıkış empedansı da 300Ω olarak belirlenmiştir. Bu elektriksel özelliklerle ve maksimum 20000bps lik (bit per second) veri iletim hızı için, RS232C arabiriminin maksimum uzunluğunun anma değeri 15 m civarındadır. Şekil 3.3. RS232C nin elektriksel özellikleri Tablo 3.1. RS232C standardı gerilim seviyeleri (volt DC) Veri Pinleri Lojik 1 Düzeyi (Volt) Jojik 0 Düzeyi (Volt) Sürücü -5 ile 15 arası +5 ile +15 arası Alıcı -3 ile 25 arası +3 ile +25 arası Denetim Pinleri Yetkilendirme Açık (Volt) Yetkisizlik Kapalı (Volt) Sürücü +5 ile +15 arası -5 ile 15 arası Alıcı +3 ile +25 arası -3 ile 25 arası

18 9 Tablo 3.1. de RS232C arabiriminde sürücülerin ve alıcıların gerilim sınırları görülmektedir. Tabloya dikkat edilirse alıcının sınırlarının sürücünün sınırlarından daha fazla olduğu görülecektir. Sürücü +5 ile +15 ya da 5 ile 15 V dc arasındaki herhangi bir gerilimde çıkış yapabilirken, alıcı +3 ile +25 veya 3 ile 25 V dc arasındaki herhangi bir gerilimi kabul edebilmektedir. Alıcı ile sürücü arasındaki bu gerilim düzeyi farkına gürültü aralığı denir. RS232C arabirim kablosu üzerindeki pinler gördükleri işlevlere göre çeşitli sınıflara ayrılmışlardır: Toprak pinleri, veri pinleri, denetim (onay) pinleri ve zamanlama pinleri. Bütün pinler tek yönlü olarak çalışırlar. Sinyaller ya veri terminalinden (Data Terminal, DTE) Veri haberleşme cihazlarına (Data Communication Equipment, DCE), ya da tersi şekilde iletilirler. 25 pinli RS232 deki 25 pinden yirmi tanesi belirli amaçlar için ayrılmıştır. 9, 10, 11, 18 ve 25 nolu pinler herhangi bir işleve sahip değildir. 1 ve 7 nolu pinler toprak pinleri, 2, 3, 14 ve 16 nolu pinler veri pinleri, 15, 17 ve 24 nolu pinler ise zamanlama pinleri olarak belirlenmiş, geriye kalan tüm pinler denetim (onay) sinyallerine ayrılmıştır. Toprak A, veri B, denetim C ve zamanlama bacağı da D harfi ile belirtilir. RS232C de kullanılabilir iki veri kanalı mevcuttur. Birinci kanal birincil (gerçek) veriler için, ikinci kanal ise ikincil veri (tanı bilgisi ve onay sinyalleri) içindir. 1 nolu pin (koruyucu toprak): Elektriksel şoka karşı koruma amacıyla kullanılır.1 nolu pin alıcının bir ucunda (ya DTE, ya da DCE, ikisinde birden değil) AC elektriksel sistemin üçüncü tel toprağına bağlanmalıdır. 2 nolu pin (iletilen veri, TX): Veri terminalinden (DTE) veri haberleşme cihazına (DCE) seri veri bu pinden gönderilir. Bu pin göndermeye açık (CTS) bacağının etkin olması durumunda yetkilendirilir. 3 nolu pin (alınan veri, RX): Birincil kanaldaki seri veri, veri haberleşme cihazından (DCE) veri terminaline (DTE) bu pinden gönderilir. Bu bacağın yetkilendirilebilmesi için alınan hat sinyali algılama (RLSD) bacağının etkin olması gerekir.

19 10 Pin No Sinyalin Kısaltması Tablo pin RS232C konnektörü için pin adlandırmaları Sinyalin Tanımı Sinyalin Yönü Kategori 1 GND Koruyucu toprak Yok Toprak 2 TX İletilen veri DTE den DCE ye Veri 3 RX Alınan veri DCE den DTE ye Veri 4 RTS Gönderme isteği DTE den DCE ye 5 CTS Göndermeye açık DCE den DTE ye Kontrol 6 DSR Veri devresi hazır DCE den DTE ye Kontrol 7 GND Sinyal toprağı Yok Toprak 8 RLSD Alınan hat sinyali algılama DCE den DTE ye Kontrol SRLSD İkincil alınan hat sinyali DCE den DTE ye Kontrol 13 SCTS algılama İkincil göndermeye açık DCE den DTE ye Kontrol 14 STX İkincil iletilen veri DTE den DCE ye Veri 15 - İletim sinyal öğesi DCE den DTE ye Zamanlama 16 SRX zamanlaması İkincil alınan veri DCE den DTE ye Veri 17 SCR Alıcı sinyal öğesi DCE den DTE ye Zamanlama 18 - zamanlaması SRTS İkincil gönderme isteği DTE den DCE ye Kontrol 20 TDR Veri terminali hazır DTE den DCE ye Kontrol 21 SQD Sinyal kalite dedektörü DCE den DTE ye Kontrol 22 RI Çağrı göstergesi DCE den DTE ye Kontrol 23 DSRS Veri sinyal hızı seçici DTE den DCE ye Kontrol 24 SCTE Gönderme sinyal öğesi DTE den DCE ye Zamanlama 25 - zamanlaması nolu pin (gönderme isteği, RTS): DTE, DCE ye birincil kanaldan veri gönderme isteğini bu pinden iletir. Etkin olması halinde modemin analog taşıyıcısını açık duruma getirir. Etkin olması için 6 nolu bacağın (DSR) etkin olması gerekir. 5 nolu pin (göndermeye açık, CTS): Gönderme isteğine yanıt olarak veri haberleşme cihazından veri terminaline bir onaydır. Etkin olması durumunda gönderme verisi (TX) bacağını yetkilendirir. 6 nolu pin (veri devresi hazır, DSR): Veri haberleşme cihazı (DCE) iletişim kanalının kullanılabilir olup olmadığını gösterir. DCE iletişim kanalına bağlı olduğu sürece DSR etkindir. 7 nolu pin (sinyal toprak, GND): Bu pin tüm veri, haberleşme ve zamanlama pinleri

20 11 için sinyal referansıdır. Genelde 1 nolu bacağa bağlanır. 8 nolu pin (alınan hat sinyali algılama, RLSD): Veri haberleşme aygıtı veri terminaline birincil kanaldan analog bir taşıyıcı almakta olduğunu bu pini kullanarak belirtir. Alınan veri (RD) bacağını yetkilendirir. 12 nolu pin (ikincil alınan hat sinyali algılama, SRLSD): DCE ikincil kanalda analog bir taşıyıcı almakta olduğunda bu pin etkindir. İkincil alınan veri bacağını (16 nolu pin) yetkilendirir. 13 nolu pin (ikincil göndermeye açık, SCTS): Veri haberleşme aygıtı (DCE) bu bacağı, ikincil gönderme isteğinde etkin duruma yanıt olarak, veri terminaline (DTE) onay göndermede kullanır. 14 nolu bacağı yetkilendirir. 14 nolu pin (ikincil iletilen veri, STD): Tanı verileri, DTE den DCE ye bu pinden aktarılır. 13 nolu pin etkin durumda olduğunda bu pin ta etkin olur. 15 nolu pin (iletim sinyal öğesi zamanlaması, SCT): Gönderme saat sinyallerinin iletilmesi bu pinden yapılır. 16 nolu pin (ikincil alınan veri): Tanı verileri DCE den DTE ye buradan aktarılır. Yetkilenebilmesi için 13 nolu bacağın etkin durumda olması gereklidir. 17 nolu pin (alıcı sinyal öğesi zamanlaması, SCR): Alma saat sinyalleri bu pinden gönderilir. Saat frekansı birincil veri kanalının bit iletim hızına eşittir. 19 nolu pin (ikincil gönderme isteği, SRS): Veri terminali (DTE), veri haberleşme cihazına (DCE) ikincil iletim kanalından veri gönderme isteğini bu pinden iletir. 20 nolu pin (veri terminali hazır, DTR): DTE DCE ye bu pinden, veri terminal donanımının kullanılabilirliği ile ilgili bilgi gönderir. 21 nolu pin (sinyal kalite dedektörü, SQD): Veri kontr0ol aygıtı (DCE) veri terminaline (DTE) bu pin ile alma analog taşıyıcısının kalitesiyle ilgili bilgi verir. 22 nolu pin (halka göstergesi, RI): Bu pin, numara çevirme hatlarında DCE nin DTE ye gelen bir arama olduğunu bildirmesi için kullanılır. 23 nolu pin (veri sinyal hızı seçici, DSRS): DTE bu bacağı DCE nin bit iletim hızını (saat frekansını) seçmesinde kullanır. 24 nolu pin (gönderme sinyal öğesi zamanlaması, SCTE): Ana saat osilatörü DTE de bulunduğunda, gönderme saat sinyalleri DTE den DCE yeburadan gönderilir.

21 12 Aşağıda ise 9 pinli RS232 nin pin adlandırmaları verilmiştir. Pin No Tablo pin RS232C konnektörü için pin adlandırmaları Sinyalin Kısaltması Sinyalin Tanımı Sinyalin Yönü Kategori 1 2 RX Alınan veri DCE den DTE ye Veri 3 TX Gönderilen veri DTE den DCE ye Veri 4 DTR Veri terminali DTE den DCE ye Kontrol 5 GND Koruyucu toprak Yok Toprak 6 DSR Veri devresi hazır DCE den DTE ye Kontrol 7 RTS Gönderme isteği Yok Kontrol 8 CTS Göndermeye açık DCE den DTE ye Kontrol 9 RI Çağrı göstergesi DTE den DCE ye Kontrol 2 nolu pin (alınan veri, RX): seri veri, veri haberleşme cihazından (DCE) veri terminaline (DTE) bu pinden gönderilir. 3 nolu pin (iletilen veri, TX): Veri terminalinden (DTE) veri haberleşme cihazına (DCE) seri veri bu pinden gönderilir. Bu pin göndermeye açık (CTS) bacağının etkin olması durumunda yetkilendirilir. 4 nolu pin (veri terminali hazır, DTR): DTE DCE ye bu pinden, veri terminal donanımının kullanılabilirliği ile ilgili bilgi gönderir. 5 nolu pin (koruyucu toprak): Elektriksel şoka karşı koruma amacıyla kullanılır.5 nolu pin alıcının bir ucunda (ya DTE, ya da DCE, ikisinde birden değil) ac elektriksel sistemin üçüncü tel toprağına bağlanmalıdır. 6 nolu pin (veri devresi hazır, DSR): Veri haberleşme cihazı (DCE) iletişim kanalının kullanılabilir olup olmadığını gösterir. DCE iletişim kanalına bağlı olduğu sürece DSR etkindir. 7 nolu pin (gönderme isteği, RTS): DTE, DCE ye veri gönderme isteğini bu pinden iletir. Etkin olması halinde modemin analog taşıyıcısını açık duruma getirir. Etkin olması için 6 nolu bacağın (DSR) etkin olması gerekir. 8 nolu pin (göndermeye açık, CTS): Gönderme isteğine yanıt olarak veri haberleşme cihazından veri terminaline bir onaydır. Etkin olması durumunda gönderme verisi (TX) bacağını yetkilendirir. 9 nolu pin (çağrı göstergesi, RI): Bu pin, numara çevirme hatlarında DCE nin

22 13 DTE ye gelen bir arama olduğunu bildirmesi için kullanılır. Şekil 3.4. te RS232C nin temel çalışması görülmektedir. Buna göre; DTE (veri terminali) birincil veri göndermek istediği zaman gönderme isteğini yetkilendirir (t=0 anı). Belirli bir zaman gecikmesinden sonra (50 ms) 4 nolu pin (CS) etkin hale gelir. RS /CS gecikmesi sırasında modemin çıkışı analog bir taşıyıcıdır (iletişim kurma sırası). İletişim kurma sırası, iletişim hattını kullanıma hazırlamada ve alma modeminde taşıyıcı ile saat darbesini tekrar elde etme devrelerini senkronize etmede kullanılır. RS /CS gecikmesinden sonra, TD (2 nolu pin) yetkilendirilir ve DTE (veri terminali) veri göndermeye başlar. Alma DTE si bir analog taşıyıcı algıladığında RD (3 nolu pin) yetkilenir. İletim tamamlandıktan sonra (t = 150 ms), RS (4 nolu pin) lojik 0 konumuna geçerek analog taşıyıcıyı keser ve CS yi (5 nolu pin) kapar. Böylece veri iletimi tamamlanmış olur. Şekil 3.4. RS232C arabiriminin temel çalışması (zamanlama diyagramı)

23 RS422 Standardı RS 422 standardı, RS232C standardını bir bakıma tamamlama görevi görmektedir. RS232C standardından daha yüksek veri transfer hızına ve daha uzun mesafelerde kullanılmak için tasarlanmıştır ( 2Mbit / saniye). Şekil 3.5. RS422 Elektriksel özellikleri D : Driver (Sürücü) R : Receiver (Alıcı) Z T : Terminal empedansı Şekil 3.5. te RS422 standardının elektriksel eşdeğer devresi görülmektedir. Burada sürücü (driver) D, alıcı R ve alıcı empedansı da Z T ile gösterilmiştir. Terminal empedansı kablonun karakteristik empedansına (Z0) eşit olarak alınmış ve sadece devrenin sonunda bir kez kullanılmıştır. Kablo boyunca, A ve B noktalarıyla A ve B noktaları arasında sırasıyla 9 adede kadar alıcı (receiver) bağlanabilir RS485 Standardı 5 voltluk bir sistemde, şayet birden fazla alıcı isteniyorsa, RS485 uyumlu cihazların kullanılması tavsiye edilmektedir. 485 standardı bir anda sadece bir sürücüden data (veri) gönderilmesine müsaade etmekle birlikte, tek bus (ağ) üzerinde çok miktarda alıcı (32 adede kadar) yerleştirilebilir. Şekil 3.6 RS485 standardının elektriksel özelliklerini göstermektedir. Şekil 3.6. RS485 elektriksel özellikleri D1: Driver (sürücü) D3/R3: Transceiver R2: Receiver (alıcı) Z T : Terminal empedansı

24 15 Şekil 3.5. ile şekil 3.6 karşılaştırıldığında 422 ve 485 sistemlerinin topolojilerinin farkı açıkça görülmektedir. 485 dengeli çok noktalı sistemlerde çalışabilmekte, buna karşın 422 bir bus (ağ) için yalnızca bir sürücüyü desteklemektedir. RS 485 için belirlenmiş maksimum kablo uzunluğu 1200 metredir. Tablo 3.4. te RS485 standardının gerilim seviyeleri verilmiştir. Tablo 3.4. RS485 gerilim seviyeleri UYGULAMA GERİLİMİ V IA V IB OLUŞAN GERİLİM V ID OLUŞAN GERİLİM V CM ALICI OUTPUT DURUMU -7 V -6,8 V -200 mv -6,9 V Q +12 V +11,8 V +200 mv +11,9 V Q -7 V -2 V -5 V -4,5 V Q +12 V +7 V +5 V +9,5 V Q ÖLÇÜM AMACI -V CM deki minimum V I +V CM deki minimum V I -V CM deki minimum V I +V CM deki minimum V I SN75176 Seviye Dönüştürücüsü SN75176, 3 durumlu bir diferansiyel hat sürücüsü ve diferansiyel input hat alıcısı birleşiminde, bunlardan hangisinin 5 voltluk kaynakta çalıştırılacağını belirler. Sürücü ve alıcı sırasıyla lojik 1 ve lojik 0 seviyesine sahip olduklarında, doğrusal kontrol fonksiyonunda olduğu gibi ikisini birlikte harici olarak birleştirir.sürücünün pasif olduğu herhangi bir zamanda ağ (bus) üzerinde minimum yüklenme olması için, sürücü çıkışlarının ve alıcı girişleri ağ üzerinde farklı giriş çıkış portları üzerinde dahili olarak bağlanacak şekilde tasarlanmıştır. Bu portların özelliği pozitif ile negatif arasında geniş bir gerilim aralığı sağlar. Çalışma sıcaklığı 0 o C ile 70 o C arasındadır.

25 16 Şekil 3.7. SN75176 pin adlandırması Şekil 3.7. de SN75176 ya ait pin numaralandırmaları ve tablo 3.5. ve tablo 3.6. da da fonksiyon tabloları görülmektedir. Tablo 3.5. SN75176 fonksiyon tablosu Tablo 3.6. SN75176 nın giriş gerilimlerine göre çıkış durumları

26 Analog Dijital Dönüştürücü (ADC) Analog ve Sayısal (Dijital) Sinyaller) Elektronikte temel olarak iki işaret vardır: Analog ve sayısal (dijital) sinyaller. Analog sinyal, herhangi iki uç sınır arasında sonsuz sayıda değer alabilen sinyaldir. 0 o C ile 100 o C arasındaki sıcaklık değerleri buna örnek olarak verilebilir. Dijital sinyal ise sadece belli değerler alabilen sinyaldir. Dijital sistemlere ise bilgisayarlar örnek olarak verilebilir. Bilgisayarlarda tüm bilgiler 1 ve 0 ile temsil edilir. Örneğin; sinyal var ise 1 ile, sinyal yoksa 0 ile belirtilir. Dönüştürücülerin çoğu tarafından üretilen sinyaller analog sinyaller olmasına rağmen, mikroişlemciler ve mikrobilgisayarlar ile daha ucuz hesaplama gücünün gelişmesiyle birlikte, çoğu verilerin ve bilgilerin sayısal olarak iletimi ve işlenmesi sayısal olarak gerçekleştirilmektedir. Sayısal sistemler analog sistemlere göre birtakım avantajlar sağlamaktadırlar. Sayısal sistemler sinyalin iletilmesi sırasında çok az veri bozulması problemi yaşarlar ve bu problemler, iletilen verilere basit hata kontrol kodları uygulamak suretiyle kabul edilebilir seviyeye düşürülür. Analog sistemler yüksek frekans ve düşük seviyeli sinyallerin işlenmesinde kullanılır Analog ve Sayısal Sinyallerin Birbirine Dönüşümü Analog sinyallerin dijital sinyallere dönüştürülmesinde ADC (analog digital converter) olarak tanımlanan entegreler kullanılır. ADC ler çok geniş bir konu olmakla beraber, projemizin asıl konusu olmadığı için burada genel bir rampa tipi ADC nin yapısını anlatmakla yetineceğiz. Projede kullanılan TLC2543 ADC si ile ilgili detaylı bilgiler ileriki konuda anlatılacaktır. Ayrıca projede kullanılmadığından Dijital Analog dönüştürücülere (DAC) burada değinilmemiştir Şekil 3.8. deki devre analog giriş gerilimi ile doğru orantılı olan ve rampa şeklinde sinyal üreten bir rampa üretecini kullandığı için rampa tipi ADC olarak adlandırılır. Rampa gerilimi 0 dan başlayarak sabit bir değere kadar artar. Rampa üreteci ise gerilim karşılaştırıcının beslemesi olan V CC ile çalışır. Devrede analog giriş gerilimi V i, karşılaştırıcının evirmeyen ucuna uygulanır. Rampa gerilimi V r, V i gerilim seviyesinin altında iken karşılaştırıcı çıkışı lojik 1 dir. Bu durumda sinyallerin clock üretecinden AND

27 18 kapısı aracılığıyla sayma devrelerine (register veya kaydedici) geçmesine izin verilir. V r gerilimi V i ye tam olarak eşit olduğunda karşılaştırıcı çıkışı lojik 0 seviyesine gelir. Böylece kaydediciye takla (toggle) işleminden sonra gelen clock sinyalleri AND kapısı çıkışı 0 olduğundan durdurulmuş olur. Şekil 3.8. Rampa tipi ADC nin temel çalışma prensibi Lojik 1 seviyesinin zaman periyodu doğrudan Vi giriş gerilimi ile doğru orantılıdır. Sadece t1 süresince sayma devreleri takla (toggle) yaptığı için sayma, analog girişin dijital eşdeğeri olur. Rampa sinyali ve sayma periyodu tekrar başlamadan önce negatife giden gerilim adımı, kaydediciyi yeniden başlangıç şartlarına kurar (reset işlemi) TLC2543 ADC si Yapısı Projede kullanılan TLC2543C ADC si kapasitör anahtarlamalı, ardışıl yakın değer veren tipte, 12 bit çözünürlükte bir ADC dir. Yapı itibariyle şu özellikleri içerir. 11nalog giriş, çalışma ısısında 10 µs çevirme süresi, kendine özgü örnekleme ve tutma fonksiyonu, on chip (çip üzerinde) olarak sistem saati, programlanabilir çıkış veri (data) uzunluğu özelliklerinden bir kaçıdır. Yüksek hızlı dönüştürmesi ve çok yönlü kontrol kabiliyetine ek olarak, 11 adet inputtan veya üç dahili self-test (kendi kendini test etme) geriliminden herhangi birini seçebilen on chip olarak 14 kanal multiplexer a (çoğullayıcı) sahiptir. Çalışma sıcaklıkları 0 o C ile 70 o C arasındadır. TLC2543C ADC sinin genel görünüşü ve blok

28 19 diyagramı Şekil 3.9. de görülmektedir. a) TLC2543 görünüşü ve pin isimleri b) Şekil 3.9. TLC2543C ADC si. a) görünüşü, b) blok diyagramı TLC2543C ye ait pin numaraları ve adlandırmaları tablo 3.7. de verilmiştir.

29 20 ADI PİN AIN0 -AIN10 PİN NO 1-9,11, 12 Tablo 3.7. TLC2543 ADC sinin pin adlandırmaları I/O CS 15 I DATA INPUT 17 I DATA OUT 16 O I AÇIKLAMA Analog giriş. Bu 11 analog sinyal dahili olarak çoklanmış. Sürücü kaynak empedansı 4,1 MHz I/O clock işleminde 50Ω dan küçük veya eşit olmalı ve 60 pf kapasitanstaki analog input voltaj kabiliyeti daha fazla olmalı. Chip select. Yüksekten düşüğe (lojik 1 den lojik 0 a) geçişte CS, iç (dahili) sayıcıları (counter), kontrolları, data out, data input ve I/O clock larını resetler. Seri data (veri) girişi. Dönüştürdükten sonra istenilen analog giriş veya test gerilimi 4 bitlik bir seri adres seçer. EOC 19 O Dönüştürme sonu. EOC, en son I/O clock darbesinin düşen kenarı geldikten sonra lojik 1 den lojik 0 a geçerve dönüştürme tamamlanıp transfer için data okununcaya kadar lojik 0 olarak kalır. GND 10 I/O CLOCK 18 I REF+ 14 I REF- 13 I Negatif referans gerilimi. V CC 20 Pozitif kaynak gerilimi 3.3. Mikrokontrolör Mikrokontrolörler ve Mikroişlemciler Mikroişlemci, kullanıldığı sistemdeki merkezi işlem birimidir. Mikroişlemci, bulunduğu sistemdeki cihazların çalışmalarını kontrol eder ve sisteme aritmetik ve lojik işlem yapabilme kapasitesini sağlar. Mikroişlemci, bellekten komut okur, o komuta ait kodu çözer ve komutu icra eder. Kısaca mikroişlemci komut icra eden yarı iletken bir elektronik devre elemanıdır. Günümüzde mikroişlemciler genelde bilgisayarlarda kullanılmaktadırlar. Mikrokontrolör (mikro denetleyici) ise, kısaca bir tümdevre üzerinde üretilen bilgisayar olarak adlandırılabilir. Bir mikrokontrolör tümdevresinde bulunan hafıza ve Giriş/Çıkış (I/O) alt sistemleri, mikrokontrolörlerin bir çok uygulama içinde devre üzerinde gömülü olarak, mikroişlemcilere göre çok daha basit ve ucuz arabirim teknikleriyle, kontrol amaçlı olarak kullanılmalarını sağlar. Mikrokontrolör uygulamalarına kameralarda ışık ve odaklama kontrolu, fotokopi ve faks cihazları, otomobillerde motor kontrolu, telefon ve modem gibi çeşitli haberleşme cihazları ve özel amaçlı olarak tasarlanan bir çok elektronik kart örnek olarak verilebilir. Bu kadar geniş uygulama alanına sahip olan mikrokontrolörler,

30 21 tümdevresi üzerinde yer alan çok çeşitli donanım özellikleri sunmaktadır. Bunlardan birkaç tanesi paralel ve seri I/O portları, zamanlayıcı ve sayıcılar, ADC, RAM, ROM olarak sıralanabilir Microchip PIC Mikrokontrolörleri Proje, mikrokontrolör içeren bir arabirim kartı olduğu için kart üzerinde kullanılacak olan mikrokontrolörün seçimi çok önemli bir yer tutmaktadır. Bu projede Microchip firmasına ait PIC mikrokontrolörünü seçilmiştir. Endüstriyel uygulamalarda en çok tercih edilen bu mikrokontrolörün en büyük özelliği RISC ( Reduced Instruction Set Computer = Komut sayısı azaltılmış işlemci) temelli bir işlemci olmasıdır. Bu özelliği ile toplam 35 adet komutu vardır ve her komut işlevini sadece bir saat çevriminde tamamlamaktadır. Ayrıca bitsel düzeyde çok rahat işlem yapılabilmesini sağlayan komutları endüstriyel kontrol uygulamalarında büyük kolaylık sağlamaktadır. Bunların yanında çok geniş bir mikrokontrolör ürün yelpazesi ile kullanıcının farklı nitelikleri üzerinde taşıyan kontrolör seçimine olanak tanır. Geliştirilecek olan program herhangi bir mikrokontrolöre kolayca uyarlanabilir. Ayrıca gelişmiş güç kontrol sistemiyle meydana gelebilecek parazitlerden en az etkilenen ve bir kilitlenme durumunda watchdog timer özelliği ile kendi kendini resetleyebilme özelliği bu mikrokontrolörün seçilmesine neden olmuştur PIC16C63 ün Yapısı Şekil PIC16C63 pin isimlendirmeleri

31 Osilatör ve Rezonatör PIC i çalıştırabilmek için gereken asgari donanım bir osilatörden ibarettir. Osilatör, bir direnç ve kapasitörden veya bir kristal/seramik rezonatörden oluşabilir. PIC16CXXX serici mikrokontrolörler dört farklı osilatör modunda çalışabilirler; LP (low power crystal), XT (crystal /resonator), HS (high speed crystal / resonator) ve RC (resistor / capasitor). Kullanıcı, bu dört osilatör tipinden herhangi birisini kullanarak konfigürasyon bitlerini (FOSC0 ve FOSC1) programlayabilir. Kristal osilatör için FOSC0 ve FOSC1 bitlerinin her ikisinin de lojik 1 yapılması gerekir. Burada, projede kullanıldığından dolayı sadece kristal osilatör devresi anlatılacaktır. Şekil PIC16CXX için kristal osilatör bağlantı şeması (rezonatör devresi) Şekil de kristal osilatörün PIC mikrokontrolörüne bağlantısı görülmektedir. Şekilde görülen C1 ve C2 kapasitörlerin değeri, kullanılan PIC e ve osilatöre göre değişmektedir. Tablo 3.8. de PIC16C63 için C1 ve C2 kapasitörlerinin seçim tablosu görülmektedir. Tablo 3.8. Osilatör tipine göre C1 ve C2 nin belirlenmesi OSİLATÖR TİPİ LP XT HS KRİSTAL FREKANSI C1 C2 32 khz 33 pf 33 pf 200 khz 15 pf 15 pf 200 khz pf pf 1 MHz 15 pf 15 pf 4 MHz 15 pf 15 pf 4 MHz 15 pf 15 pf 8 MHz pf pf 20 MHz pf pf

32 23 Tablo 3.8. e göre 200 khz lik bit kristal osilatör için C1 ve C2, 47 ile 68 pf arasında seçilebilirken, 4 MHz lik bir osilatör için 15 pf olarak seçilmelidir Reset ve Besleme Devreleri Mikroişlemcili/mikrokontrolörlü sistemlerde reset devrelerinin kullanılmasının bir çok avantajı vardır. Bunlardan bazıları şu şekilde sıralanabilir: Program sayacı, I/O ve kontrol registerları gibi belirli registerler için bilinen bir durumun varlığından emin olunmasını sağlar. Saatin doğru frekansa yerleşmesi için yeterli zamanın verilmesine yardımcı olur. Şebeke geriliminde herhangi bir sorun olduğu zaman mikrokontrolörün düzgün olarak yeniden başlayacağından emin olunmasını sağlar. PIC16CXX mikrokontrolörleri birkaç çeşit reset devresinden birini seçme imkanı vermektedir. Bunlar: Power on Reset (POR) MCLR Reset (normal çalışma esnasında) MCLR Reset (uyku modunda) WDT (normal çalışma esnasında) Brown Out Reset (BOR) Bazı registerler hiçbir reset durumundan etkilenmezler. Bunların Power on Reset (POR) anındaki durumları belli değildir. Bunların dışındaki registerler, yukarıda berlirtilen reset çeşitlerinden birisiyle karşılaştıklarında resetlenirler. Bir reset işlemi gerçekleştikten sonra PIC, Program Sayacı nı önceden belirlenmiş olan bir değere ayarlar. Bu, bilinen bir program başlama noktasına sahip olduğundan emin olunması için gereklidir. Program Sayacı reset adresi PIC16CXX serisi için 000H adresidir. PIC16C63 için reset devrelerinin elektriksel özellikleri tablo 3.7. de verilmiştir.

33 24 Tablo 3.9. PIC16C63 Reset devrelerinin elektriksel özellikleri Karakteristik Kaynak gerilimi RAM veri tutma gerilimi PORsinyalinin V DD ye oranı. Brown Out Resetgerilimi Sembol Min DC KARAKTERİSTİKLERİ Tipik (5V 25 o ) V DD 4-6 Volt V DR Volt S VDD Volt/ms B VDD Volt Kaynak akımı I DD MA Brown Out Reset akımı Maks Birimi Açıklama XT, RC ve LP osilatör modlarında XT osilatör frekansı F OSC =4 MHz,V DD = 5.5 V ΔI BOR ΜA BOR aktif, V DD = 5 V Tablo 3.9. daki Tipik sütununda verilen değerler 5 volt, 25 o deki değerlerdir. Power on Reset (POR): V DD geriliminin yükselen kenarı geldiği zaman, power on reset bir darbe üretir. Power on reset devresinin üstün bir tarafı, MCLR/V PP pinine direk olarak V DD geriliminin uygulanmasıdır. Brown Out Reset (BOR): konfigürasyon biti BODEN, Brown Out Reset devresini aktif veya pasif yapabilir. Analog arabirim devresinde PIC16C63 için Brown Out Reset devresi seçilmiştir. Tablo 3.9. da bu devrenin elektriksel özellikleri görülmektedir Watchdog Timer Watchdog Timer in (WDT) kullanılmasının nedeni, PIC i veya herhangi bir işlemciyi bir döngüde kilitlenmekten uzak tutmaktır.böyle bir durum yazılımda bir hata veya harici elektriksel kıvılcımlar nedeniyle ortaya çıkabilir. WDT, PIC e bir çeşit kalp atışı sağlar ve eğer WDT belirli aralıklarda temizlenmezse bu kalp atışları PIC i reset olmaya zorlar. Watchdog Timer in normal çalışma süresi, 25C o de 5V luk bir kaynakla beslendiği zaman 18 ms dir. Fakat, sıcaklık, kaynak gerilimi (V DD ) devre elemanlarından kaynaklanan

34 25 işlem değişkenleri gibi sebeplerle bu değer değişebilir. Eğer Time out değeri istenenden daha uzun olursa, prescaler değeri 1:28 oranında bölünerek OPTION registerine yazmak suretiyle WDT yazılımla kontrol edilebilir. Böylece zaman aşımı (Time Out) periyodu 2.5 saniyede gerçekleştirilmiş olur. Watchdog Timer ayarlanmış, zaman aşımı devre dışı bırakılmış ve cihaz reset durumu üretmiş ise, CLRWDT ve SLEEP komutları Watchdog Timer i temizler. Şekil Watch Dog Timer Blok Diyagramı Herhangi bir zamanda bir reset işlemi gerçekleşirse, STATU registerindeki iki bit resetin nedenini gösterir. Bu bitler TO ve PD (Time Out ve Power Down) bitleridir. TO PD Reset Nedeni 0 0 WDT, SLEEP (uyku) modundan çıkmıştır. 0 1 WDT, SLEEP haricinde zaman aşımına uğramıştır. 1 0 SLEEP durumundayken harici reset (WDT kapalı) 1 1 Açılma durumu. Reset gerçekleştikten hemen sonra bu bitlerin incelenmesiyle, program nasıl davranacağını ve nereye dallanacağını belirler.

35 I/O (Giriş / Çıkış) Portları PIC mikrokontrolörlerindeki bazı pinler, cihazın çevre birimlerinin özelliklerine değişik fonksiyonlar yükleyebilmek için I/O portları olarak ayrılmıştır. Genellikle bir çevre birim girilirse, bu pinler genel amaçlı I/O pini olarak kullanılamaz. PORTA ve TRISA Registeri: Tüm PIC mikrokontrolörlerinde 6 bit genişliğinde PORTA registeri bulunur. RA4/T0CK1 pini Schmitt Trigger girişi ve Open Drain çıkışıdır. Diğer tüm pinler TTL giriş seviyesindedirler ve hepsi CMOS output sürücüleridir. Tablo 3.8. de PORTA registerinin fonksiyonları görülmektedir. Tablo PORTA Fonksiyonları. İsim Bit No Buffer Tipi Fonksiyon RA0 Bit 0 TTL Giriş/Çıkış RA1 Bit 1 TTL Giriş/Çıkış RA2 Bit 2 TTL Giriş/Çıkış RA3 Bit 3 TTL Giriş/Çıkış RA4/T0CK1 Bit 4 ST RA5/SS Bit 5 TTL Timer0 için giriş/çıkış veya harici saat girişi. Çıkış open drain tiptedir. Giriş/Çıkış veya senkron seri port için ikincil giriş seçimi. PORTB ve TRISB Registeri: PORTB, 8bitlik çift yönlü bir porttur. Data yönleri TRISB ye kaydedilir. Herhangi bir bit giriş olarak set edildiğinde, TRISB, o bit için çıkış sürücüsünü yüksek empedans moduna getirir. PORTB pinlerinin hepsinde dahili olarak pull-up dirençleri vardır. Pull-up lar tek bir kontrol biti ile on konumuna getirilebilirler. Şayet pinler çıkış olarak ayarlanmışlarsa pullup lar otomatik olarak off konumuna gelirler. Buradaki önemli bir nokta, pull-up irençlerinin Power on Reset (POR) anında kullanılamadıklarıdır.

36 27 Tablo PORTB Fonksiyonları İsim Bit No Buffer Tipi Fonksiyon RB0/INT Bit 0 TTL/ST Giriş/Çıkış veya harici interrupt girişi. RB1 Bit 1 TTL Giriş/Çıkış RB2 Bit 2 TTL Giriş/Çıkış RB3 Bit 3 TTL Giriş/Çıkış RB4 Bit 4 TTL Giriş/Çıkış RB5 Bit 5 TTL Giriş/Çıkış RB6 Bit 6 TTL/ST Giriş/Çıkış RB7 Bit 7 TTL/ST Giriş/Çıkış Eğer RB0 pini harici interrupt pini olarak kullanılırsa, bu pin için buffer olarak Schmitt Trigger seçilir. Yine aynı şekilde RB6 ve RB7 pinleri seri programlama modunda kullanılırsa, bu pinler için de buffer olarak Schmitt Trigger seçilir. PORTC ve TRISC Registeri: PORTC de 8 bit çift yönlü porttur. Her bir pin bağımsız olarak TRISC registeri içerisinde giriş veya çıkış olarak düzenlenebilir. PORTC pinlerinde Schmitt Trigger giriş buffer i kullanılır. PORTC, birkaç tane çevresel fonksiyon ile çoklanmıştır. İsim Tablo PORTC Fonksiyonları Bit No RCO/T1OSO/T1CKI Bit 0 Buffer Tipi ST RC1/T1OSI/CCP2 Bit 1 ST RC2/CCP1 Bit 2 ST RC3/SCK/SCL Bit 3 ST RC4/SDI/SDA Bit 4 ST RC5/SDO Bit 5 ST RC6/TX/CK Bit 6 ST RC7/RX/DT Bit 7 ST Fonksiyon Giriş/Çıkış, Timer1 osilatör çıkışı veya Timer1 saat girişi Giriş/Çıkış, Timer1 osilatör girişi, Capture2 girişi/compare2 çıkışı/pwm2 çıkışı Giriş/Çıkış, Capture1 girişi/compare1 çıkışı/pwm1 çıkışı SPI ve I 2 C modlarında senkron saat darbeleri yapar. SPI modu için SPI data girişi, I 2 C modu için data çıkışı Giriş/Çıkış veya senkran seri data çıkışı Giriş/Çıkış veya USART asenkron gönderme pini Giriş/Çıkış veya USART asenkron alma pini

Deney 10: Analog - Dijital Dönüştürücüler (Analog to Digital Converters - ADC) Giriş

Deney 10: Analog - Dijital Dönüştürücüler (Analog to Digital Converters - ADC) Giriş Deney 10: Analog - Dijital Dönüştürücüler (Analog to Digital Converters - ADC) Analog - Dijital Dönüştürücülerin ADC0804 entegre devresi ile incelenmesi Giriş Sensör ve transdüser çıkışlarında genellikle

Detaylı

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş C ile 8051 Mikrodenetleyici Uygulamaları BÖLÜM 2 8051 Mikrodenetleyicisine Giriş Amaçlar 8051 mikrodenetleyicisinin tarihi gelişimini açıklamak 8051 mikrodenetleyicisinin mimari yapısını kavramak 8051

Detaylı

İÇİNDEKİLER 1. KLAVYE... 11 2. KLAVYE RB0... 19 3. KLAVYE RBHIGH... 27 4. 4 DİSPLAY... 31

İÇİNDEKİLER 1. KLAVYE... 11 2. KLAVYE RB0... 19 3. KLAVYE RBHIGH... 27 4. 4 DİSPLAY... 31 İÇİNDEKİLER 1. KLAVYE... 11 Satır ve Sütunlar...11 Devre Şeması...14 Program...15 PIC 16F84 ile 4x4 klavye tasarımını gösterir. PORTA ya bağlı 4 adet LED ile tuş bilgisi gözlenir. Kendiniz Uygulayınız...18

Detaylı

EasyPic 6 Deney Seti Tanıtımı

EasyPic 6 Deney Seti Tanıtımı EasyPic 6 Deney Seti Tanıtımı Power supply voltage regulator J6 ile power supply seçimi yapılır. USB seçilirse USB kablosu üzerinden +5V gönderilir, EXT seçilirse DC connector üzerinden harici bir power

Detaylı

6. DİJİTAL / ANALOG VE ANALOG /DİJİTAL ÇEVİRİCİLER 1

6. DİJİTAL / ANALOG VE ANALOG /DİJİTAL ÇEVİRİCİLER 1 6. DİJİTAL / ANALOG VE ANALOG /DİJİTAL ÇEVİRİCİLER 1 Günümüzde kullanılan elektronik kontrol üniteleri analog ve dijital elektronik düzenlerinin birleşimi ile gerçekleşir. Gerilim, akım, direnç, frekans,

Detaylı

RS-232'den RS-485'e Kullanıcı kılavuzu

RS-232'den RS-485'e Kullanıcı kılavuzu RS-232'den RS-485'e Kullanıcı kılavuzu DA-70161 I. Özet Bilgisayarlar ile çeşitli standart seri ara birim dönüştürücü donanımlar veya akıllı cihazlar arasındaki uzak dijital iletişimi gerçekleştirmek için,

Detaylı

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9 İÇİNDEKİLER BÖLÜM 1 TEMEL LOJİK KAPI DENEYLERİ 1-1 Lojik ve Anahtara Giriş 1 1-2 Lojik Kapı Devreleri... 9 a. Diyot Lojiği (DL) devresi b. Direnç-Transistor Lojiği (RTL) devresi c. Diyot-Transistor Lojiği

Detaylı

MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ

MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ KARADENİZ TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BİLGİSAYAR ORGANİZASYONU LABORATUVARI MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ 1. GİRİŞ Analog işaretleri sayısal işaretlere dönüştüren elektronik devrelere

Detaylı

Adres Yolu (Address Bus) Bellek Birimi. Veri Yolu (Databus) Kontrol Yolu (Control bus) Şekil xxx. Mikrodenetleyici genel blok şeması

Adres Yolu (Address Bus) Bellek Birimi. Veri Yolu (Databus) Kontrol Yolu (Control bus) Şekil xxx. Mikrodenetleyici genel blok şeması MİKRODENETLEYİCİLER MCU Micro Controller Unit Mikrodenetleyici Birimi İşlemci ile birlikte I/O ve bellek birimlerinin tek bir entegre olarak paketlendiği elektronik birime mikrodenetleyici (microcontroller)

Detaylı

PİC HAKKINDA KISA KISA BİLGİLER GİRİŞ/ÇIKIŞ PORTLARI

PİC HAKKINDA KISA KISA BİLGİLER GİRİŞ/ÇIKIŞ PORTLARI PİC HAKKINDA KISA KISA BİLGİLER GİRİŞ/ÇIKIŞ PORTLARI Bazı pinler çevre birimleri ile çoklanmıştır. Peki bu ne demek? Mesela C portundaki RC6 ve RC7 pinleri seri iletişim için kullanılır. Eğer seri iletişimi

Detaylı

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme PROGRAMIN ADI DERSIN KODU VE ADI DERSIN ISLENECEGI DÖNEM HAFTALIK DERS SAATİ DERSİN SÜRESİ ENDÜSTRİYEL ELEKTRONİK MİK.İŞLEMCİLER/MİK.DENETLEYİCİLER-1 2. Yıl, III. Yarıyıl (Güz) 4 (Teori: 3, Uygulama: 1,

Detaylı

YENİLENEBİLİR ENERJİ EĞİTİM SETİ

YENİLENEBİLİR ENERJİ EĞİTİM SETİ YENİLENEBİLİR ENERJİ EĞİTİM SETİ Yenilenebilir enerji sistemleri eğitim seti temel olarak rüzgar türbini ve güneş panelleri ile elektrik üretimini uygulamalı eğitime taşımak amacıyla tasarlanmış, kapalı

Detaylı

İçİndekİler. 1. Bölüm - Mİkro Denetleyİcİ Nedİr? 2. Bölüm - MİkroDenetleyİcİlerİ Anlamak

İçİndekİler. 1. Bölüm - Mİkro Denetleyİcİ Nedİr? 2. Bölüm - MİkroDenetleyİcİlerİ Anlamak XIII İçİndekİler 1. Bölüm - Mİkro Denetleyİcİ Nedİr? Mikrodenetleyici Tanımı Mikrodenetleyicilerin Tarihçesi Mikroişlemci- Mikrodenetleyici 1. İki Kavram Arasındaki Farklar 2. Tasarım Felsefesi ve Mimari

Detaylı

PEY-D810 SĠNYALĠZASYON SĠSTEMĠ

PEY-D810 SĠNYALĠZASYON SĠSTEMĠ PEY-D810 SĠNYALĠZASYON SĠSTEMĠ AÇIKLAMALAR-KULLANIM-BAĞLANTILAR Sayfa 1 ĠÇĠNDEKĠLER SAYFA 1-) Sistemin Genel Tanıtımı 3 2-) Sistemin ÇalıĢma ġekli.4 3-) Sistem Yazılımı 5 4-) Sistemin Elektrik ve Bağlantı

Detaylı

Configuration bitleri ve reset durumları hakkında kavramlar

Configuration bitleri ve reset durumları hakkında kavramlar PİC HAKKINDA KISA KISA BİLGİLER-1 Pic mikrodenetleyicilerinin 8 bit, 16 bit ve 32 bit işlemci çeşitleri vardır. Çoğu uygulamalarımız için 8 bit yeterli olmaktadır. Bu kursta kullanacağımız pic işlemcisi,

Detaylı

4-Deney seti modüler yapıya sahiptir ve kabin içerisine tek bir board halinde monte edilmiştir.

4-Deney seti modüler yapıya sahiptir ve kabin içerisine tek bir board halinde monte edilmiştir. MDS 8051 8051 AİLESİ DENEY SETİ 8051 Ailesi Deney Seti ile piyasada yaygın olarak bulunan 8051 ailesi mikro denetleyicileri çok kolay ve hızlı bir şekilde PC nizin USB veya Seri portundan gönderdiğiniz

Detaylı

PIC 16F877 nin kullanılması

PIC 16F877 nin kullanılması PIC 16F877 nin kullanılması, dünyada kullanıma sunulmasıyla eş zamanlı olarak Türkiye de de uygulama geliştirenlerin kullanımına sunuldu., belki de en popüler PIC işlemcisi olan 16F84 ten sonra kullanıcılara

Detaylı

5.Eğitim E205. PIC16F628 ve PIC16F877 Hakkında Genel Bilgi IF THEN ELSE ENDIF HIGH-LOW GOTO-END- PAUSE Komutları Tanıtımı ve Kullanımı PIC16F628:

5.Eğitim E205. PIC16F628 ve PIC16F877 Hakkında Genel Bilgi IF THEN ELSE ENDIF HIGH-LOW GOTO-END- PAUSE Komutları Tanıtımı ve Kullanımı PIC16F628: 5.Eğitim E205 PIC16F628 ve PIC16F877 Hakkında Genel Bilgi IF THEN ELSE ENDIF HIGH-LOW GOTO-END- PAUSE Komutları Tanıtımı ve Kullanımı PIC16F628: PIC16F628 18 pine sahiptir.bu pinlerin 16 sı giriş / çıkış

Detaylı

BESLEME KARTI RF ALICI KARTI

BESLEME KARTI RF ALICI KARTI BESLEME KARTI Araç üzerinde bulunan ve tüm kartları besleyen ünitedir.doğrudan Lipo batarya ile beslendikten sonra motor kartına 11.1 V diğer kartlara 5 V dağıtır. Özellikleri; Ters gerilim korumalı Isınmaya

Detaylı

Mikroişlemci ile Analog-Sayısal Dönüştürücü (ADC)

Mikroişlemci ile Analog-Sayısal Dönüştürücü (ADC) KARADENİZ TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİ LABORATUARI Mikroişlemci ile Analog-Sayısal Dönüştürücü (ADC) 1. Giriş Analog işaretler analog donanım kullanılarak işlenebilir.

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar)

Detaylı

Bölüm 6 Multiplexer ve Demultiplexer

Bölüm 6 Multiplexer ve Demultiplexer Bölüm 6 Multiplexer ve Demultiplexer DENEY 6- Multiplexer Devreleri DENEYİN AMACI. Multiplexer ın çalışma prensiplerini anlamak. 2. Lojik kapıları ve TTL tümdevre kullanarak multiplexer gerçekleştirmek.

Detaylı

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar) Bus

Detaylı

PIC MİKROKONTROLÖR TABANLI MİNİ-KLAVYE TASARIMI

PIC MİKROKONTROLÖR TABANLI MİNİ-KLAVYE TASARIMI PIC MİKROKONTROLÖR TABANLI MİNİ-KLAVYE TASARIMI Prof. Dr. Doğan İbrahim Yakın Doğu Üniversitesi, Bilgisayar Mühendisliği Bölümü, Lefkoşa, KKTC E-mail: dogan@neu.edu.tr, Tel: (90) 392 2236464 ÖZET Bilgisayarlara

Detaylı

DENEY 21 IC Zamanlayıcı Devre

DENEY 21 IC Zamanlayıcı Devre DENEY 21 IC Zamanlayıcı Devre DENEYİN AMACI 1. IC zamanlayıcı NE555 in çalışmasını öğrenmek. 2. 555 multivibratörlerinin çalışma ve yapılarını öğrenmek. 3. IC zamanlayıcı anahtar devresi yapmak. GİRİŞ

Detaylı

İletişim Protokolleri (Communication Protocols)

İletişim Protokolleri (Communication Protocols) İletişim Protokolleri (Communication Protocols) Arduino dış dünya ile iletişim kurabilmek için genel amaçlı i/o pinleri önceki konu başlığında incelenmişti. LED, buton, role vb. cihazlardan girdi almak

Detaylı

Haberleşme Üniteleri Endüstriyel ve Ticari. CU- B 1/B 2/B 4 R S 2 3 2 R S 4 8 5 M o d ü l l e r

Haberleşme Üniteleri Endüstriyel ve Ticari. CU- B 1/B 2/B 4 R S 2 3 2 R S 4 8 5 M o d ü l l e r Haberleşme Üniteleri Endüstriyel ve Ticari CU- B 1/B 2/B 4 R S 2 3 2 R S 4 8 5 M o d ü l l e r Teknik Özellikler Çalışma Şartları Standart IEC61393 / DIN 43864 Anma Gerilim 24 V Max. Gerilim 27 V Akım

Detaylı

ANOLOG-DİJİTAL DÖNÜŞTÜRÜCÜLER

ANOLOG-DİJİTAL DÖNÜŞTÜRÜCÜLER ADC ve DAC 1 BM-201 2 ANOLOG-DİJİTAL DÖNÜŞTÜRÜCÜLER Maksimum ve minimum sınırları arasında farklı değerler alarak değişken elektriksel büyüklüklere analog bilgi ya da analog değer denir. Akım ve gerilim

Detaylı

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür.

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür. İŞLEMCİLER (CPU) Mikroişlemci Nedir? Mikroişlemci, hafıza ve giriş/çıkış birimlerini bulunduran yapının geneline mikrobilgisayar; CPU' yu bulunduran entegre devre çipine ise mikroişlemci denir. İşlemciler

Detaylı

Analog Sayısal Dönüşüm

Analog Sayısal Dönüşüm Analog Sayısal Dönüşüm Gerilim sinyali formundaki analog bir veriyi, iki tabanındaki sayısal bir veriye dönüştürmek için, az önce anlatılan merdiven devresiyle, bir sayıcı (counter) ve bir karşılaştırıcı

Detaylı

Paralel ve Seri İletişim. Asenkron/Senkron İletişim. Şekil 2: İletişim Modları

Paralel ve Seri İletişim. Asenkron/Senkron İletişim. Şekil 2: İletişim Modları Paralel ve Seri İletişim Şekil1a: Paralel İletişim Şekil1b. Seri iletişim Şekil 2: İletişim Modları Asenkron/Senkron İletişim PROTEUS/ISIS SANAL SERİ PORT ile C# USART HABERLEŞMESİ Seri iletişimde, saniyedeki

Detaylı

WiFi RS232 Converter Sayfa 1 / 12. WiFi RS232 Converter. Teknik Döküman

WiFi RS232 Converter Sayfa 1 / 12. WiFi RS232 Converter. Teknik Döküman WiFi RS232 Converter Sayfa 1 / 12 WiFi RS232 Converter Teknik Döküman WiFi RS232 Converter Sayfa 2 / 12 1. ÖZELLĐKLER 60.20mm x 40.0mm devre boyutları (5-15)VDC giriş gerilimi Giriş ve çalışma gerilimini

Detaylı

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş 29.11.2016 İÇERİK Arduino Nedir? Arduino IDE Yazılımı Arduino Donanım Yapısı Elektronik Bilgisi

Detaylı

1. PROGRAMLAMA. PDF created with pdffactory Pro trial version www.pdffactory.com

1. PROGRAMLAMA. PDF created with pdffactory Pro trial version www.pdffactory.com . PROGRAMLAMA UTR-VC Windows altında çalışan konfigürasyon yazılımı aracılığıyla programlanır. Programlama temel olarak kalibrasyon, test ve giriş/çıkış aralıklarının seçilmesi amacıyla kullanılır. Ancak

Detaylı

Bölüm 4 Ardışıl Lojik Devre Deneyleri

Bölüm 4 Ardışıl Lojik Devre Deneyleri Bölüm 4 Ardışıl Lojik Devre Deneyleri DENEY 4-1 Flip-Floplar DENEYİN AMACI 1. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop

Detaylı

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits)

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits) SE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates nd Logic Circuits) Sakarya Üniversitesi Lojik Kapılar - maçlar Lojik kapıları ve lojik devreleri tanıtmak Temel işlemler olarak VE,

Detaylı

DENEY 3 HAVALI KONUM KONTROL SİSTEMİ DENEY FÖYÜ

DENEY 3 HAVALI KONUM KONTROL SİSTEMİ DENEY FÖYÜ DENEY 3 HAVALI KONUM KONTROL SİSTEMİ DENEY FÖYÜ 1. Deneyin Amacı Bu deneyde, bir fiziksel sistem verildiğinde, bu sistemi kontrol etmek için temelde hangi adımların izlenmesi gerektiğinin kavranması amaçlanmaktadır.

Detaylı

EEM 419-Mikroişlemciler Güz 2017

EEM 419-Mikroişlemciler Güz 2017 EEM 419-Mikroişlemciler Güz 2017 Katalog Bilgisi : EEM 419 Mikroişlemciler (3+2) 4 Bir mikroişlemci kullanarak mikrobilgisayar tasarımı. Giriş/Çıkış ve direk hafıza erişimi. Paralel ve seri iletişim ve

Detaylı

Ek bilgi Internet:.../cecx

Ek bilgi Internet:.../cecx Modüler PLC ler CECX İki ürün versiyonu: CoDeSys tabanlı modüler PLC CoDeSys ve SofMotion tabanlı motion PLC Kolay konfigürasyon Otomatik modül algılaması Network de PLC yi bulmak için arama fonksiyonu

Detaylı

Bir bölgede başka bir bölgeye karşılıklı olarak, veri veya haberin gönderilmesini sağlayan.sistemlerdir.

Bir bölgede başka bir bölgeye karşılıklı olarak, veri veya haberin gönderilmesini sağlayan.sistemlerdir. 1.1.3. Scada Yazılımından Beklenenler Hızlı ve kolay uygulama tasarımı Dinamik grafik çizim araçları Çizim kütüphaneleri Alarm yönetimi Tarih bilgilerinin toplanması Rapor üretimi 1.1.4. Scada Sistemleri

Detaylı

Şekil 3-1 Ses ve PWM işaretleri arasındaki ilişki

Şekil 3-1 Ses ve PWM işaretleri arasındaki ilişki DARBE GENİŞLİK MÖDÜLATÖRLERİ (PWM) (3.DENEY) DENEY NO : 3 DENEY ADI : Darbe Genişlik Modülatörleri (PWM) DENEYİN AMACI : µa741 kullanarak bir darbe genişlik modülatörünün gerçekleştirilmesi.lm555 in karakteristiklerinin

Detaylı

ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY 6 ANALOG/DİGİTAL DÖNÜŞTÜRÜCÜ. Grup Numara Ad Soyad RAPORU HAZIRLAYAN:

ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY 6 ANALOG/DİGİTAL DÖNÜŞTÜRÜCÜ. Grup Numara Ad Soyad RAPORU HAZIRLAYAN: ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY 6 ANALOG/DİGİTAL DÖNÜŞTÜRÜCÜ DENEYİ YAPANLAR Grup Numara Ad Soyad RAPORU HAZIRLAYAN: Deneyin Yapılış Tarihi Raporun Geleceği Tarih Raporun

Detaylı

Fatih Üniversitesi. İstanbul. Haziran 2010. Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır.

Fatih Üniversitesi. İstanbul. Haziran 2010. Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır. Fatih Üniversitesi SIMATIC S7-200 TEMEL KUMANDA UYGULAMALARI 1 İstanbul Haziran 2010 Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır. İÇİNDEKİLER 1. GİRİŞ...

Detaylı

YENİLENEBİLİR ENERJİ EĞİTİM SETİ

YENİLENEBİLİR ENERJİ EĞİTİM SETİ YENİLENEBİLİR ENERJİ EĞİTİM SETİ KULLANIM KİTAPÇIĞI ve Deneyler İÇİNDEKİLER Eğitim Seti Özellikleri 3 Hibrid Şarj Regülatörü Modülü Özellikleri 4 DC-AC İnverter Modülü Özellikleri 5 AKÜ Modülü Özellikleri

Detaylı

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN Bahar Dönemi Öğr.Gör. Vedat MARTTİN Merkezi İşlemci Biriminde İletişim Yolları Mikroişlemcide işlenmesi gereken komutları taşıyan hatlar yanında, işlenecek verileri taşıyan hatlar ve kesme işlemlerini

Detaylı

Çalışma Açısından Bilgisayarlar

Çalışma Açısından Bilgisayarlar Çalışma Açısından Bilgisayarlar Ölçme sistemi ile hesaplama sistemi birbiriyle ilgili olmasına rağmen aynı değillerdir. Suyun sıcaklığı ve gürültünün şiddeti ile evdeki lambaların ölçülmesi aynı değillerdir.

Detaylı

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ İçerik Mikroişlemci Sistem Mimarisi Mikroişlemcinin yürüttüğü işlemler Mikroişlemci Yol (Bus) Yapısı Mikroişlemci İç Veri İşlemleri Çevresel Cihazlarca Yürütülen İşlemler

Detaylı

1. Ders Giriş. Mikroişlemcili Sistem Uygulamaları

1. Ders Giriş. Mikroişlemcili Sistem Uygulamaları 1. Ders Giriş Hazırlayan: Arş. Gör. Hakan ÜÇGÜN Mikroişlemcili Sistem Uygulamaları Dikkat ettiniz mi: Etrafımızdaki akıllı cihazların sayısı ne kadar da arttı. Cep telefonlarımız artık sadece iletişim

Detaylı

ATB100. ATB100 GPRS / GPS Tabanlı Filo Yönetim Terminali. Bilgi Dokümanı. www.dtsis.com 1

ATB100. ATB100 GPRS / GPS Tabanlı Filo Yönetim Terminali. Bilgi Dokümanı. www.dtsis.com 1 GPRS / GPS Tabanlı Filo Yönetim Terminali Bilgi Dokümanı www.dtsis.com 1 Tanım kompakt, bağımsız ve ekonomik, ancak güçlü ve zengin özelliklere sahip filo yönetimi terminalidir. Tri-band GSM/GPRS modem

Detaylı

PIC TABANLI, 4 BASAMAKLI VE SER

PIC TABANLI, 4 BASAMAKLI VE SER PIC TABANLI, 4 BASAMAKLI VE SERİ BAĞLANTILI 7 SEGMENT LED PROJESİ Prof. Dr. Doğan İbrahim Yakın Doğu Üniversitesi, Mühendislik Fakültesi, Bilgisayar Mühendisliği Bölümü, Lefkoşa E-mail: dogan@neu.edu.tr,

Detaylı

Bölüm 9 A/D Çeviriciler

Bölüm 9 A/D Çeviriciler Bölüm 9 A/D Çeviriciler 9.1 AMAÇ 1. Bir Analog-Dijital Çeviricinin çalışma yönteminin anlaşılması. 2. ADC0804 ve ADC0809 entegrelerinin karakteristiklerinin anlaşılması. 3. ADC0804 ve ADC0809 entegrelerinin

Detaylı

Sistem Programlama. Kesmeler(Interrupts): Kesme mikro işlemcinin üzerinde çalıştığı koda ara vererek başka bir kodu çalıştırması işlemidir.

Sistem Programlama. Kesmeler(Interrupts): Kesme mikro işlemcinin üzerinde çalıştığı koda ara vererek başka bir kodu çalıştırması işlemidir. Kesmeler(Interrupts): Kesme mikro işlemcinin üzerinde çalıştığı koda ara vererek başka bir kodu çalıştırması işlemidir. Kesmeler çağırılma kaynaklarına göre 3 kısma ayrılırlar: Yazılım kesmeleri Donanım

Detaylı

Mikroişlemci Nedir? Mikrodenetleyici Nedir? Mikroişlemci iç yapısı Ders Giriş. Mikroişlemcili Sistem Uygulamaları

Mikroişlemci Nedir? Mikrodenetleyici Nedir? Mikroişlemci iç yapısı Ders Giriş. Mikroişlemcili Sistem Uygulamaları Mikroişlemcili Sistem Uygulamaları 1. Ders Giriş Dikkat ettiniz mi: Etrafımızdaki akıllı cihazların sayısı ne kadar da arttı. Cep telefonlarımız artık sadece iletişim sağlamakla kalmıyor, müzik çalıyor,

Detaylı

GS3055-I GSM/GPRS Universal Kablosuz Alarm Komünikatör. BORMET www.bormet.com.tr

GS3055-I GSM/GPRS Universal Kablosuz Alarm Komünikatör. BORMET www.bormet.com.tr GS3055-I GSM/GPRS Universal Kablosuz Alarm Komünikatör GS3055-I Genel Bakış GS3055-I: 1. GSM universal kablosuz alarm haberleştiricisi yedeklemede birincil rol oynar. 2. Bir GSM network cihazı; alarm kontrol

Detaylı

IFD8520 ADRESLENEBİLİR RS-485/RS-422 İZOLELİ ÇEVİRİCİ KULLANIM KILAVUZU

IFD8520 ADRESLENEBİLİR RS-485/RS-422 İZOLELİ ÇEVİRİCİ KULLANIM KILAVUZU IFD8520 ADRESLENEBİLİR RS-485/RS-422 İZOLELİ ÇEVİRİCİ KULLANIM KILAVUZU ÖNSÖZ: Delta IFD8520 izoleli adreslenebilir RS-232 RS-422/RS-485 çevirici, RS-422/RS-485 'den RS-232 protokolüne haberleşme arabirimi

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 6 DAC, Sayısal Analog Dönüştürücüler DAC Sayısal Analog Dönüştürücüler Analog sayısal dönüşümün tersini gerçekleyen elemanlara sayısal

Detaylı

DENEY NO : 2 DENEY ADI : Sayısal Sinyallerin Analog Sinyallere Dönüştürülmesi

DENEY NO : 2 DENEY ADI : Sayısal Sinyallerin Analog Sinyallere Dönüştürülmesi DENEY NO : 2 DENEY ADI : Sayısal Sinyallerin Analog Sinyallere Dönüştürülmesi DENEYİN AMACI :Bir sayısal-analog dönüştürücü işlemini anlama. DAC0800'ün çalışmasını anlama. DAC0800'ı kullanarak unipolar

Detaylı

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK Mikroişlemci HAFTA 1 HAFIZA BİRİMLERİ Program Kodları ve verinin saklandığı bölüm Kalıcı Hafıza ROM PROM EPROM EEPROM FLASH UÇUCU SRAM DRAM DRRAM... ALU Saklayıcılar Kod Çözücüler... GİRİŞ/ÇIKIŞ G/Ç I/O

Detaylı

Mikroişlemcili Sistemler ve Laboratuvarı

Mikroişlemcili Sistemler ve Laboratuvarı SAKARYA ÜNİVERSİTESİ Bilgisayar ve Bilişim Bilimleri Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemcili Sistemler ve Laboratuvarı Hafta04 : 8255 ve Bellek Organizasyonu Doç.Dr. Ahmet Turan ÖZCERİT

Detaylı

ELM019 - Ölçme ve Enstrümantasyon 3

ELM019 - Ölçme ve Enstrümantasyon 3 DAQ - Converters Veri Toplayıcılar Data Acquisition Bir Veri Toplama Sisteminin (DAS) Bileşenleri Bazı tıbbi cihazlar bir hastadan gelen fizyolojik işaretlerin takibini ve analizini yapabilir. Şekildeki

Detaylı

8086 nın Bacak Bağlantısı ve İşlevleri. 8086, 16-bit veri yoluna (data bus) 8088 ise 8- bit veri yoluna sahip16-bit mikroişlemcilerdir.

8086 nın Bacak Bağlantısı ve İşlevleri. 8086, 16-bit veri yoluna (data bus) 8088 ise 8- bit veri yoluna sahip16-bit mikroişlemcilerdir. Bölüm 9: 8086 nın Bacak Bağlantısı ve İşlevleri 8086 & 8088 her iki işlemci 40-pin dual in-line (DIP) paketinde üretilmişlerdir. 8086, 16-bit veri yoluna (data bus) 8088 ise 8- bit veri yoluna sahip16-bit

Detaylı

9. MERKEZİ İŞLEM BİRİM MODÜLÜ TASARIMI

9. MERKEZİ İŞLEM BİRİM MODÜLÜ TASARIMI 1 9. MERKEZİ İŞLEM BİRİM MODÜLÜ TASARIMI Mikroişlemci temelli sistem donanımının en önemli kısmı merkezi işlem birimi modülüdür. Bu modülü tasarlamak için mikroişlemcinin uç işlevlerinin çok iyi bilinmesi

Detaylı

Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü

Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü FBs-4A2D, FATEK FBs'nin PLC serilerinin analog I/O modullerinden biridir. Analog çıkışları için 2 kanallı 14bitlik D/A çıkışı sağlar. Farklı jumper ayarlarına

Detaylı

FAZ KİLİTLEMELİ ÇEVRİM (PLL)

FAZ KİLİTLEMELİ ÇEVRİM (PLL) FAZ KİLİTLEMELİ ÇEVRİM (PLL) 1-Temel Bilgiler Faz kilitlemeli çevrim (FKÇ) (Phase Lock Loop, PLL) dijital ve analog haberleşme ve kontrol uygulamalarında sıkça kullanılan bir elektronik devredir. FKÇ,

Detaylı

RS-232 SERİ ARA BİRİM FOTOELEKTRİK YALITKAN

RS-232 SERİ ARA BİRİM FOTOELEKTRİK YALITKAN RS-232 SERİ ARA BİRİM FOTOELEKTRİK YALITKAN Kullanıcı kılavuzu DA-70163 I. Özet Gelişmiş fotoelektrik izolasyon teknolojisinin kullanılmasıyla, aynı zamanda Seri Yalıtkan olarak da bilinen RS-232 Seri

Detaylı

Hareketli. Sistem. Sistemleri. Hareketli. Sistemi

Hareketli. Sistem. Sistemleri. Hareketli. Sistemi Sistemi tartım sistemleri birçok yapının birbirine entegre edilmesiyle oluşur. kalite kriteri sistemleri direkt olarak etkilemektedir. Bu parçaların çoğunun direkt üretimini gerçekleştirebiirnek kurulacak

Detaylı

ATC-105. RS232 RS422/RS485 Data Çevirici KULLANMA KILAVUZU

ATC-105. RS232 RS422/RS485 Data Çevirici KULLANMA KILAVUZU ATC-105 RS232 RS422/RS485 Data Çevirici KULLANMA KILAVUZU Açıklama: ATC-105 izoleli arabrim çeviric RS232C, RS422, RS485 standartlarındadır ve RS232 sinyalini RS422 veya RS485 dengeli sinyallere çevirir.

Detaylı

RedoMayer Makina ve Otomasyon

RedoMayer Makina ve Otomasyon RedoMayer Makina ve Otomasyon >Robotik Sistemler >PLC ve modülleri >Operatör Panelleri >Servo Motor ve Sürücüleri >Redüktörler >Encoderler www.redomayer.com RedoMayer Makina ve Otomasyon, 20 yılı aşan

Detaylı

KISA MESAFE RADYO TELEMETRİ CİHAZLARI

KISA MESAFE RADYO TELEMETRİ CİHAZLARI TÜM SEBINETECH RADYO MODEMLERDE AŞAĞIDAKİ ÖZELLİKLER ORTAKTIR; KASA ÇALIŞMA SICAKLIĞI RF ÖZELLİKLERİ PERFORMANS ANTEN ARAYÜZÜ ÜRÜN SEÇİMİ Alüminyum kasa -10 C ~ +60 C Frekans: 433MHz, 25KHz kanal aralığı

Detaylı

1969 yılında, klasik (Elektrik) kumandanın. Elektronik karşılığı olan ilk PLC yapıldı yılında ise dört yıllık bir çalımanın ürünü

1969 yılında, klasik (Elektrik) kumandanın. Elektronik karşılığı olan ilk PLC yapıldı yılında ise dört yıllık bir çalımanın ürünü 1. PLC`nin Tarihcesi 1960 yılında yüksek verimlilik, güvenilirlik ve yeni devreler gibi üstünlükleri ile birlikte bilgisayarlar kullanılmaya başlandı. Buda beraberinde endüstriyel üretimde otomasyonu ortaya

Detaylı

3 Fazlı Motorların Güçlerinin PLC ile Kontrolü. Doç. Dr. Ramazan BAYINDIR

3 Fazlı Motorların Güçlerinin PLC ile Kontrolü. Doç. Dr. Ramazan BAYINDIR 3 Fazlı Motorların Güçlerinin PLC ile Kontrolü Doç. Dr. Ramazan BAYINDIR Endüstride çok yaygın olarak kullanılan asenkron motorların sürekli izlenmesi ve arızalarının en aza indirilmesi büyük önem kazanmıştır.

Detaylı

DY-45 OSĐLOSKOP KĐTĐ. Kullanma Kılavuzu

DY-45 OSĐLOSKOP KĐTĐ. Kullanma Kılavuzu DY-45 OSĐLOSKOP KĐTĐ Kullanma Kılavuzu 01 Kasım 2010 Amatör elektronikle uğraşanlar için osiloskop pahalı bir test cihazıdır. Bu kitte amatör elektronikçilere hitap edecek basit ama kullanışlı bir yazılım

Detaylı

VLT FC-102 Hvac Drive ile Optimize Performans. 1

VLT FC-102 Hvac Drive ile Optimize Performans.  1 VLT FC-102 Hvac Drive ile Optimize Performans www.naviga.com.tr 1 VLT 6000 cihaz karşılığı FC-102 HVAC işlerinde yeniden belirlenen standartlarla Havaalanı Otel Hastane Ofis Temiz oda M e r k e z i I s

Detaylı

DERS 5 PIC 16F84 PROGRAMLAMA İÇERİK. PIC 16F84 bacak bağlantıları PIC 16F84 bellek yapısı Program belleği RAM bellek Değişken kullanımı Komutlar

DERS 5 PIC 16F84 PROGRAMLAMA İÇERİK. PIC 16F84 bacak bağlantıları PIC 16F84 bellek yapısı Program belleği RAM bellek Değişken kullanımı Komutlar DERS 5 PIC 16F84 PROGRAMLAMA İÇERİK PIC 16F84 bacak bağlantıları PIC 16F84 bellek yapısı Program belleği RAM bellek Değişken kullanımı Komutlar Ders 5, Slayt 2 1 BACAK BAĞLANTILARI Ders 5, Slayt 3 PIC

Detaylı

ATBRFN. Radyo Frekansı (RF) Tabanlı Dorse Takip Birimi. Bilgi Dokümanı (ATBRFN) www.dtsis.com 1

ATBRFN. Radyo Frekansı (RF) Tabanlı Dorse Takip Birimi. Bilgi Dokümanı (ATBRFN) www.dtsis.com 1 Radyo Frekansı (RF) Tabanlı Dorse Takip Birimi (ATBRFN) Bilgi Dokümanı www.dtsis.com 1 İçindekiler 1. Genel Tanım... 3 2. Sistem Tanımı... 4 2.1. Master Cihaz... 4 2.1.1. Blok Diyagram... 4 2.1.2. Teknik

Detaylı

YENİLENEBİLİR ENERJİ EĞİTİM SETİ İLERİ SEVİYE TEKNİK ÖZELLİKLER

YENİLENEBİLİR ENERJİ EĞİTİM SETİ İLERİ SEVİYE TEKNİK ÖZELLİKLER YENİLENEBİLİR ENERJİ EĞİTİM SETİ İLERİ SEVİYE TEKNİK ÖZELLİKLER Yenilenebilir enerji sistemleri eğitim seti temel olarak rüzgar türbini ve güneş panelleri ile elektrik üretimini uygulamalı eğitime taşımak

Detaylı

Teorik Bilgi DENEY 7: ASENKRON VE SENKRON SAYICILAR

Teorik Bilgi DENEY 7: ASENKRON VE SENKRON SAYICILAR DENEY 7: ASENKRON VE SENKRON SAYICILAR Deneyin Amaçları Asenkron ve senkron sayıcı devre yapılarının öğrenilmesi ve deneysel olarak yapılması Deney Malzemeleri 74LS08 Ve Kapı Entegresi (1 Adet) 74LS76

Detaylı

FBs-serisi. Programlanabilir Kontrol Cihazı. Kullanım Kitabı - II [ İleri Düzey Uygulamalar. Önsöz, İçerik. FBs-PLC Interrupt Fonksiyonu 9

FBs-serisi. Programlanabilir Kontrol Cihazı. Kullanım Kitabı - II [ İleri Düzey Uygulamalar. Önsöz, İçerik. FBs-PLC Interrupt Fonksiyonu 9 Önsöz, İçerik FBs-PLC Interrupt Fonksiyonu 9 FBs-PLC Yüksek Hızlı Sayıcı ve Zamanlayıcı 10 FBs-PLC nin Haberleşmesi 11 FBs-serisi Programlanabilir Kontrol Cihazı FBs-PLC Haberleşme Bağlantı Uygulamaları

Detaylı

PIC Mikrodenetleyicileri

PIC Mikrodenetleyicileri PIC Mikrodenetleyicileri Intel 1976 da 8031/51 ailesini piyasaya sürdüğünde dünyanın en popüler mikroişlemcisi olmuştu. Bu işlemci dünya üzerinde 12 den fazla firma tarafından (İntel, Phillips, Dallas,

Detaylı

Fatih Üniversitesi Elektrik ve Elektronik Mühendisliği Bölümü EEM 316 Haberleşme I LAB SINAVI DARBE GENLİK MODÜLASYONU (PWM)

Fatih Üniversitesi Elektrik ve Elektronik Mühendisliği Bölümü EEM 316 Haberleşme I LAB SINAVI DARBE GENLİK MODÜLASYONU (PWM) Fatih Üniversitesi Elektrik ve Elektronik Mühendisliği Bölümü EEM 316 Haberleşme I LAB SINAVI DARBE GENLİK MODÜLASYONU (PWM) 9.1 Amaçlar 1. µa741 ile PWM modülatör kurulması. 2. LM555 in çalışma prensiplerinin

Detaylı

Çizgi İzleyen Robot Yapımı

Çizgi İzleyen Robot Yapımı Çizgi İzleyen Robot Yapımı Elektronik Elektronik tasarım için yapılması gerek en önemli şey kullanılacak malzemelerin doğru seçilmesidir. Robotun elektronik aksamı 4 maddeden oluşur. Bunlar; 1. Sensörler

Detaylı

Strike 5.50 R Proximity Kart Okuyucu Kullanım Kılavuzu

Strike 5.50 R Proximity Kart Okuyucu Kullanım Kılavuzu Strike 5.50 R Proximity Kart Okuyucu Kullanım Kılavuzu Bu kılavuz Strike 5,50 R kullanım ve bilgisayar bağlantısı ile cihaz tanımlamalarına yönelik doğru çalışma alışkanlıkları konusunda bilgiler vermektedir.

Detaylı

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN Bilgisayar Mühendisliğine Giriş Yrd.Doç.Dr.Hacer KARACAN Mikroişlemci Nedir? Bir bilgisayarın en önemli parçası Mikroişlemcisidir. Hiçbir bilgisayar mikroişlemci olmadan çalışamaz. Bu nedenle Mikroişlemci

Detaylı

Bu ders boyunca, ilk önce sayısal kontrol sistemlerinin temellerini tanıtıp, daha sonra birkaç temel pratik uygulamasından bahsedeceğiz.

Bu ders boyunca, ilk önce sayısal kontrol sistemlerinin temellerini tanıtıp, daha sonra birkaç temel pratik uygulamasından bahsedeceğiz. Özellikle 2000 li yıllarda dijital teknolojideki gelişmeler, dijital (sayısal) kontrol sistemlerini analog kontrol sistemleriyle rekabet açısından 90 lı yıllara göre daha üst seviyelere taşımıştır. Düşük

Detaylı

Algılayıcılar / Transmitter

Algılayıcılar / Transmitter 1 Algılayıcı / Transmitter ATH100L Algılayıcılar / Transmitter ATH100L Kullanım Kılavuzu [Rev_1.0_ATH100L] 2 Algılayıcı / Transmitter ATH100L İÇİNDEKİLER 1. GENEL ÖZELLİKLER... 3 1.1. ATH100L... 3 1.2.

Detaylı

Model232I RS232 Optik İzolatör

Model232I RS232 Optik İzolatör Telkolink Kurumsal Bilgi Teknolojileri Çözümleri www.telkolink.com Model232I RS232 Optik İzolatör Industrial Model232I Isolation Protector, Seri haberleşme sistemlerinde RS232 seri arayüze sahip cihazlara

Detaylı

Bölüm 7 Ardışıl Lojik Devreler

Bölüm 7 Ardışıl Lojik Devreler Bölüm 7 Ardışıl Lojik Devreler DENEY 7- Flip-Floplar DENEYİN AMACI. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop türlerinin

Detaylı

DelcomRF DRF 12 UR (UART RECEIVER) Ürün Kılavuzu

DelcomRF DRF 12 UR (UART RECEIVER) Ürün Kılavuzu DelcomRF DRF 12 UR (UART RECEIVER) Ürün Kılavuzu DelcomRF FSK RF MODUL Versiyon: 1.0 www.delcomrf.com.tr Genel Özellikler: Dar band FSK Modülasyonlu haberleşme. 434 veya 868MHz bandında Frekans Tahsis

Detaylı

ACR-Net 100 Kullanım Kılavuzu

ACR-Net 100 Kullanım Kılavuzu ACR-Net 100 Kullanım Kılavuzu Ayrıntılı bilgi için web sayfamızı ziyaret edin. www.acrelektronik.com.tr 1 İçindekiler 1. ACR-Net 100... 3 1.1. ACR-Net 100 Özellikleri... 3 1.2. Kullanım Alanları... 3 1.3.

Detaylı

B.Ç. / E.B. MİKROİŞLEMCİLER

B.Ç. / E.B. MİKROİŞLEMCİLER 1 MİKROİŞLEMCİLER RESET Girişi ve DEVRESİ Program herhangi bir nedenle kilitlenirse ya da program yeniden (baştan) çalıştırılmak istenirse dışarıdan PIC i reset yapmak gerekir. Aslında PIC in içinde besleme

Detaylı

DENEY NO : 1 DENEY ADI : Analog Sinyallerin Sayısal Sinyallere Dönüştürülmesi

DENEY NO : 1 DENEY ADI : Analog Sinyallerin Sayısal Sinyallere Dönüştürülmesi DENEY NO : 1 DENEY ADI : Analog Sinyallerin Sayısal Sinyallere Dönüştürülmesi DENEYİN AMACI : Analogdan sayısala çevrimde çeviricinin işleyişini anlama. ADC0804 ve ADC0809'un özelliklerini anlama. ADC0804

Detaylı

KIRIKKALE ÜNİVERSİTESİ

KIRIKKALE ÜNİVERSİTESİ KIRIKKALE ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL ELEKTRONİK LAB. DENEY FÖYÜ DENEY 4 OSİLATÖRLER SCHMİT TRİGGER ve MULTİVİBRATÖR DEVRELERİ ÖN BİLGİ: Elektronik iletişim sistemlerinde

Detaylı

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ SAYISAL DEVRE UYGULAMALARI Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ İÇİNDEKİLER ŞEKİLLER TABLOSU... vi MALZEME LİSTESİ... viii ENTEGRELER... ix 1. Direnç ve Diyotlarla Yapılan

Detaylı

Üniversal Ölçüm Cihazý UMG 505. Þebeke Analizi ve Enerji Yüklerinin Optimizasyonu

Üniversal Ölçüm Cihazý UMG 505. Þebeke Analizi ve Enerji Yüklerinin Optimizasyonu Üniversal Ölçüm Cihazý UMG 505 Þebeke Analizi ve Enerji Yüklerinin Optimizasyonu Modem Yazýcý LON Mobus PC I/O Kullanýmý LON Üniversal Ölçüm Cihazý Kullanýmý UMG 505 düþük gerilim ve orta gerilim þebekelerindeki

Detaylı

İçerik. Ürün no.: MSI 430.F50-01 Güvenlik kontrolü

İçerik. Ürün no.: MSI 430.F50-01 Güvenlik kontrolü Ürün no.: 50134315 MSI 430.F50-01 Güvenlik kontrolü Şekil farklılık gösterebilir İçerik Teknik veriler Boyutlandırılmış çizimler Elektrik bağlantısı Devre şeması Aksesuarlar Uyarılar 1 / 7 Teknik veriler

Detaylı

TEKNOMOBİL UYDU HABERLEŞME A.Ş. KULLANICI KILAVUZU Rev. 1.0 Satcom SCATEL

TEKNOMOBİL UYDU HABERLEŞME A.Ş. KULLANICI KILAVUZU Rev. 1.0 Satcom SCATEL UYDU HABERLEŞME A.Ş. KULLANICI KILAVUZU Rev. 1.0 Satcom SCATEL İÇİNDEKİLER GÜVENLİK UYARISI... 1 GİRİŞ... 2 1. SCATEL ÖZELLİKLERİ...3 2. ELEKTRİKSEL ARA YÜZLER... 4 2.1 D-SUB 50 PİNLİ DİŞİ BAĞLAYICI...4

Detaylı

İÇİNDEKİLER ASD-B2 Serisi Sürücü Konnektör ve Terminal Bağlantıları

İÇİNDEKİLER ASD-B2 Serisi Sürücü Konnektör ve Terminal Bağlantıları İÇİNDEKİLER 1- ASD-A2 Serisi Sürücüler ve Motorlar 1.1-Temel Bağlantılar 1.1.1- ASD-A2 Serisi Motor Sürücü Bağlantısı 1.1.2- ASD-A2 Serisi Encoder Sürücü Bağlantısı 1.2-Ayrıntılı Bağlantılar 1.2-1. Çevre

Detaylı

Proje Teslimi: 2013-2014 güz yarıyılı ikinci ders haftasında teslim edilecektir.

Proje Teslimi: 2013-2014 güz yarıyılı ikinci ders haftasında teslim edilecektir. ELEKTRONĐK YAZ PROJESĐ-2 (v1.1) Yıldız Teknik Üniversitesi Elektronik ve Haberleşme Mühendisliği Bölümünde okuyan 1. ve 2. sınıf öğrencilerine; mesleği sevdirerek öğretmek amacıyla, isteğe bağlı olarak

Detaylı

Op-Amp Uygulama Devreleri

Op-Amp Uygulama Devreleri Op-Amp Uygulama Devreleri Tipik Op-amp devre yapıları şunları içerir: Birim Kazanç Arabelleği (Gerilim İzleyici) Evirici Yükselteç Evirmeyen Yükselteç Toplayan Yükselteç İntegral Alıcı Türev Alıcı Karşılaştırıcı

Detaylı

OP-AMP UYGULAMA ÖRNEKLERİ

OP-AMP UYGULAMA ÖRNEKLERİ OP-AMP UYGULAMA ÖRNEKLERİ TOPLAR OP-AMP ÖRNEĞİ GERİLİM İZLEYİCİ Eşdeğer devresinden görüldüğü gibi Vo = Vi 'dir. Emiter izleyici devreye çok benzer. Bu devrenin giriş empedansı yüksek, çıkış empedansı

Detaylı