Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula"

Transkript

1 Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

2 Programlanabilir Lojik Basit Programlanabilir Lojik Cihazlar (Simple Programmable Logic Device - SPLD) ** PAL (Programmable Array Logic), PLA (Programmable Logic Array) Karmaşık Programlanabilir Lojik Cihazlar (Complex PLD - CPLD) ** PAL benzeri birçok blok ve bunları birbirine bağlayan programlanabilir bağlantılar Sahada Programlanabilir Kapı Dizileri (Field Programmable Gate Array - FPGA)

3 PROM (1970)

4 PLA (1975)

5 PAL (1978)

6

7

8 CPLD (1983)

9 FPGA (1985)

10 FPGA Tekrar tekrar programlanabilen lojik bloklar, ara bağlantılar Aritmetik devre blokları, hafıza blokları vb. de içerebilmektedirler Sahada programlanabilirlik?

11 CPLD Az sayıda SPLD benzeri lojik bloklardan oluşur EEPROM tabanlı programlama Yapısının daha basit olması nedeniyle gecikmeler düşük Küçük çapta lojik uygulamaları için uygun FPGA Çok sayıda, çoklayıcı ve LUT (Look-UpTable) tabanı lojik bloklardan oluşur. Çoğunlukla RAM tabanlı programlama Karmaşık yapı nedeniyle gecikmeler daha fazla olabilmekte ve tahmin edilebilirliği düşük Karmaşık lojik uygulamalar için uygun SPLDs CPLDs FPGAs Equivalent gates 0 ~ ~ 12, ~ 1,000,000

12

13 Programlanabilir Devrelerin Artıları/Eksileri Hızlı tasarım süreleri Küçük çaplı üretimler için daha ekonomik Üretimden sonra tekrar değiştirilebilme Hız, alan ve güç tüketimi açısından ASIC lerden (Application Specific Integrated Circuit) daha düşük performans Büyük miktarda üretimlerde bir programlanabilir yonganın üretim maliyeti sabit kaldığından toplam maliyet daha yüksek olmaktadır

14 FPGA Uygulama Alanları Sayısal işaret işleme uygulamaları Haberleşme uygulamaları (Radarlar, yazılım tanımlı radyolar, haberleşme cihazları...) Otomasyon, mikrodenetleyicili sistemler Donanım hızlandırma devreleri (FPGA üzerinde paralel işlem blokları oluşturmaya dayanır) Tasarımlar için prototip oluşturma FPGA'in ASIC yerine alternatif olarak kullanılabileceği, özellikle piyasaya hızlı ürün sürme önceliği bulunan çeşitli uygulamalar.

15 FPGA mimarisi Lojik Bloklar Çoklayıcı Tabanlı Lojik Blok

16 Shannon Açılımı

17 LUT (Look-up Table) Tabanlı Lojik Blok Bir lojik fonksiyonun tüm çıkış değerlerini tutan ve ilgili girişe göre çıkışta görülmesi gereken değeri seçen yapılara LUT adı verilir. K sayısına kadar farklı değişkene sahip her tür lojik fonksiyon K girişli LUT (LUT-K) ile gerçeklenebilir.

18

19 Source: Altera white paper: FPGA Architecture

20

21

22

23

24 Optimum LUT Boyutu

25

26

27 27 Source: Altera white paper: FPGA Architecture

28 Programlama Teknikleri Ters-sigorta (Anti-fuse) EEPROM SRAM

29 SRAM ile Programlama FPGA da kullanılan CMOS prosesine uygundur. Yazılan veri kalıcı değildir. Güç kesildikten sonra tekrar programlanmalıdır. Alternatif olarak yeniden programlamayı sağlamak için SRAM hücrelerini programlayacak veriyi tutan EEPROM blokları kullanılabilmektedir.

30

31 EEPROM ile Programlama Bir veya iki transistor ile hafıza hücresi gerçeklenebilir Güç kesildiğinde programlama bilgisi korunur Yeniden programlanabilme ömrü RAM lere göre azdır Yüzen geçit kullanımı imalat sürecinin karmaşıklığını arttırmaktadır

32

33 Ters Sigorta (Anti-fuse) ile Programlama Metal yüzeyler arasına sıkıştırılmış amorf dielektrik kullanılarak gerçeklenir (Transistor kullanılmaz) Güç kesildiğinde programlama bilgisi korunur Yeniden programlanamaz Düşük direnç ve parazitik kapasiteler

34 Programlama Teknolojisi SRAM Metal-Metal antifuse EEPROM Üretim Karmaşıklığı Düşük Yüksek Yüksek Yeniden Programlanabilirlik Var Yok Var Programlama Ömrü Çok Yüksek Bir defa Düşük Alan İletim Halindeki İç Direnci(Ω) Kesim Halindeki İç Kapasitesi (ff) 6 transistor Transistor kullanılmaz 1-2 transistor K Güç Tüketimi Düşük En düşük Düşük Kalıcılık Kalıcı değil Kalıcı Kalıcı

35 FPGA mimarisi Ara Bağlantılar

36 FPGA mimarisi Ara Bağlantılar

37 FPGA datasarımın Aşamaları 1. Gerçeklenecek yapının tasarımı: Gereksinimlere göre beklenen işlevi yerine getirecek devrenin ve alt bloklarının fonksiyonlarının belirlenmesi, bağlantı ara yüzünün yapılması işlemlerini içerir 2. Tasarımın HDL ile ifadesi: Yapılan tasarım bir donanım tanımlama dili (Hardware Description Language - HDL) ile ifade edilir. Günümüzde en sık kullanılan donanım tanımlama dilleri Verilog ve VHDL dir.

38 FPGA datasarımın Aşamaları 3. Test ortamı tasarımı: Yazılan HDL tasarımın işlevsel olarak beklendiği şekilde çalıştığını doğrulamak için bir test ortamı yazılır ve burada tasarıma verilecek test giriş değerleri belirlenir. 4. Davranışsal Benzetim: Yazılmış olan test ortamı çalıştırılarak devrenin verilen test girişlerine karşılık hangi çıkışları ürettiği incelenir. Bu benzetimde sadece üretilen çıkışlar önemlidir, gecikmeler hesaba katılmamaktadır.

39 FPGA datasarımın Aşamaları 5. Sentez: Bu aşamada, ikinci adımda yazılmış olan devreye ait HDL kodun netlist adı verilen ve devrede hangi elemanın nereye bağlanacağını ifade eden bir başka kodlamaya çevirir. Bu iş için sentezleyici adı verilen yazılımlar kullanılmaktadır. Bu adımın önemi, sentezleme sonucunda devrede ortaya çıkabilecek zamanlama ve bağlantı problemlerinin öngörülebilir olmasıdır.

40 FPGA datasarımın Aşamaları 6. Gerçekleme (Implementation): Çeviri (Translate): Sentez tarafından üretilen bağlantı listesi, kullanılacak FPGA cihazın iç elemanları cinsinden ifadesine çevrilir. Planlama (Map): Yapılan çeviri sonucunda ortaya çıkan lojiğin FPGA üzerindeki bloklara (kaynaklara) göre nasıl yerleşeceğini planlar. Yerleştirme ve Bağlama (Place and Route): Planda oluşturulan haritaya ve belirlenen alan ve gecikme kıstaslarına göre tasarımı FPGA üzerine yerleştirir ve ara bağlantıları yapar.

41 FPGA datasarımın Aşamaları 7. Zamanlama Analizi: Gerçekleme işleminden sonra FPGA üzerinde kurulması planlanmış olan hatlar üzerindeki gecikmeleri analiz edilir. Tasarım kısıtlamalarına uymayan gecikme veya yeterli saat frekansı olup olmadığına bakılır. Bütün bu işlemler sonunda FPGA üzerindeki programlamayı yapacak olan bit dizilimi üretilecektir.

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI Yard. Doç. Dr. Özdemir ÇETİN Sunu Başlıklar kları 1. Amaç 2. Temel Bilgiler 1. SoC (System-On-Chip) nedir? 2. SoC donanım araçları ASIC (Application

Detaylı

FPGA Mimarisi. Bilgisayar Mimarisinde Yeni Yaklaşımlar. Mehmet AKTAŞ

FPGA Mimarisi. Bilgisayar Mimarisinde Yeni Yaklaşımlar. Mehmet AKTAŞ FPGA Mimarisi Bilgisayar Mimarisinde Yeni Yaklaşımlar Mehmet AKTAŞ 1. Giriş Sigorta Bağlantılı Teknolojiler Karşıt Sigorta Teknolojisi ROM PROM EPROM EEPROM SRAM 2. Programlanabilir Teknolojiler Programlanabilir

Detaylı

FPGA ile Gömülü Sistem Tasarımına Giriş

FPGA ile Gömülü Sistem Tasarımına Giriş FPGA ile Gömülü Sistem Tasarımına Giriş Bilg. Yük. Müh. Selçuk BAŞAK SelSistem Bilgi ve İletişim Teknolojileri www.selsistem.com.tr Giriş Gömülü Sistemler Programlanabilir Lojik - SPLD FPGA & CPLD Donanım

Detaylı

İ.T.Ü. Bilişim Enstitüsü Bilgisayar Bilimleri FPGA MİMARİSİ

İ.T.Ü. Bilişim Enstitüsü Bilgisayar Bilimleri FPGA MİMARİSİ İ.T.Ü. Bilişim Enstitüsü Bilgisayar Bilimleri FPGA MİMARİSİ İsim :Mehmet AKTAŞ Numara :704071011 Ders :Bilgisayar Mimarisinde Yeni Yaklaşımlar Öğretim Üyesi :Prof. Dr. Bülent Örencik 1. Giriş... 2 1.1.

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 4 Programlanabilir Mantık Elemanları Programlanabilir mantık aygıtları (Programmable Logic Devices), PLD mantık geçitleri ve flip-floplar

Detaylı

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber FPGA ile Kablosuz Görüntü Aktarımı Yusuf Onur Koçberber Seminer 2009 Yaz Plan FPGA Genel bilgiler FPGA nın İç Yapısı, Nasıl Programlanabiliyor?, Nasıl Çalışıyor? Neden bu kadar popüler oldu? MPW Her şeyin

Detaylı

Gömülü Sistemler. (Embedded Systems)

Gömülü Sistemler. (Embedded Systems) Gömülü Sistemler (Embedded Systems) Tanım Gömülü Sistem (Embedded System): Programlanabilir bilgisayar içeren fakat kendisi genel amaçlı bilgisayar olmayan her türlü cihazdır. Gömülü Sistem (Embedded System):

Detaylı

ELM320- ENTEGRE DEVRE TASARIMI

ELM320- ENTEGRE DEVRE TASARIMI ELM320- ENTEGRE DEVRE TASARIMI METODOLOJİLER GİRİŞ CMOS Yapısı + V DD V H1 0 V in S G G S D D Q 2 V H1 Q 1 V o 0 CMOS Tersleyici Transfer Karakteristiği 2 Neden CMOS? Gerilimin +V DD den 0V a düştüğü veya

Detaylı

SAYISAL VLSI SİSTEM TASARIM AKIŞI

SAYISAL VLSI SİSTEM TASARIM AKIŞI SAYISAL VLSI SİSTEM TASARIM AKIŞI 1 Tasarım Öncesi: Ürünle ilgili bilgilerin olgunlaştırılması: kullanım yeri/amacı? yıllık gereksinim (sayı)? teknik gereksinimler/özellikler (spec.)? Fizibilite çalışması:

Detaylı

T.C. TRAKYA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ŞİFRELEME İŞLEMLERİ İÇİN FPGA İLE YÜKSEK KAPASİTELİ ÇARPMA DEVRESİ TASARIMI.

T.C. TRAKYA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ŞİFRELEME İŞLEMLERİ İÇİN FPGA İLE YÜKSEK KAPASİTELİ ÇARPMA DEVRESİ TASARIMI. T.C. TRAKYA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ŞİFRELEME İŞLEMLERİ İÇİN FPGA İLE YÜKSEK KAPASİTELİ ÇARPMA DEVRESİ TASARIMI Kenan BAYSAL YÜKSEK LİSANS TEZİ BİLGİSAYAR MÜHENDİSLİĞİ ANABİLİM DALI Tez Danışmanı:

Detaylı

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK Yıldız Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü Lisans Üstü Semineri Bahar 2009 Giriş Programlanabilir Lojik - SPLD FPGA

Detaylı

VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması

VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması 6 th International Advanced Technologies Symposium (IATS 11), 16-18 May 2011, Elazığ, Turkey VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması S. Uzun 1, M. R. Canal 2, M.

Detaylı

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK Mikroişlemci HAFTA 1 HAFIZA BİRİMLERİ Program Kodları ve verinin saklandığı bölüm Kalıcı Hafıza ROM PROM EPROM EEPROM FLASH UÇUCU SRAM DRAM DRRAM... ALU Saklayıcılar Kod Çözücüler... GİRİŞ/ÇIKIŞ G/Ç I/O

Detaylı

İSTANBUL TEKNİK ÜNİVERSİTESİ ELEKTRİK ELEKTRONİK FAKÜLTESİ

İSTANBUL TEKNİK ÜNİVERSİTESİ ELEKTRİK ELEKTRONİK FAKÜLTESİ İSTANBUL TEKNİK ÜNİVERSİTESİ ELEKTRİK ELEKTRONİK FAKÜLTESİ CEP TELEFONLARINDAKİ DİZİ ŞİFRELEMENİN SAHADA PROGRAMLANABİLİR KAPI DİZİLERİ İLE GERÇEKLENMESİ BİTİRME ÖDEVİ Furkan DAYI 040010136 Bölümü: Elektronik

Detaylı

1. GİRİŞ 1.1. Giriş ve Çalışmanın Amacı

1. GİRİŞ 1.1. Giriş ve Çalışmanın Amacı 1. GİRİŞ 1.1. Giriş ve Çalışmanın Amacı İnsanların birbirlerine olan güvenlerinin iyice azaldığı günümüz dünyasında, veri iletişiminde güvenliğin sağlanması en önemli konulardan biri haline gelmiştir.

Detaylı

CUMHURİYET MESLEKİ VE TEKNİK ANADOLU LİSESİ BİLİŞİM TEKNOLOJİLERİNİN TEMELLERİ DERSİ DERS NOTLARI BELLEKLER

CUMHURİYET MESLEKİ VE TEKNİK ANADOLU LİSESİ BİLİŞİM TEKNOLOJİLERİNİN TEMELLERİ DERSİ DERS NOTLARI BELLEKLER BELLEKLER Genel olarak bellekler, elektronik bilgi depolama üniteleridir. Bilgisayarlarda kullanılan bellekler, işlemcinin istediği bilgi ve komutları maksimum hızda işlemciye ulaştıran ve üzerindeki bilgileri

Detaylı

BÖLÜM 2 SAYI SİSTEMLERİ

BÖLÜM 2 SAYI SİSTEMLERİ İÇİNDEKİLER BÖLÜM 1 GİRİŞ 1.1. Lojik devre içeriği... (1) 1.1.1. Kodlama, Kod tabloları... (2) 1.1.2. Kombinezonsal Devre / Ardışıl Devre... (4) 1.1.3. Kanonik Model / Algiritmik Model... (4) 1.1.4. Tasarım

Detaylı

Programlanabilir Sayısal Sistemler

Programlanabilir Sayısal Sistemler T.C. MALTEPE ÜNİVERSİTESİ MÜHENDİSLİK VE DOĞA BİLİMLERİ FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ LİSANS PROGRAMI 2011-12 Bahar Yarıyılı Programlanabilir Sayısal Sistemler BİL322 AKTS Kredisi 5 3. yıl 6. yarıyıl

Detaylı

T.C. TRAKYA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ŞİFRELEME ALGORİTMASININ FPGA'DA UYGULANMASI. Yasin AKMAN YÜKSEK LİSANS TEZİ

T.C. TRAKYA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ŞİFRELEME ALGORİTMASININ FPGA'DA UYGULANMASI. Yasin AKMAN YÜKSEK LİSANS TEZİ T.C. TRAKYA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ŞİFRELEME ALGORİTMASININ FPGA'DA UYGULANMASI Yasin AKMAN YÜKSEK LİSANS TEZİ BİLGİSAYAR MÜHENDİSLİĞİ ANABİLİM DALI Tez Danışmanı: YRD. DOÇ. DR. TARIK YERLİKAYA

Detaylı

Digital Design HDL. Dr. Cahit Karakuş, February-2018

Digital Design HDL. Dr. Cahit Karakuş, February-2018 Digital Design HDL Dr. Cahit Karakuş, February-2018 NOT, AND, and OR Gates NAND and NOR Gates DeMorgan s Theorem Exclusive-OR (XOR) Gate Multiple-input Gates Basic Logic Gates and Basic Digital Design

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SYISL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı ÖLÜM 3 Mantık Geçitleri Değil (Inverter) Geçidi İnverter geçidi oolean NOT işlemini yapar. Giriş YÜKSEK olduğunda çıkışını DÜŞÜK, giriş DÜŞÜK

Detaylı

FGPA ile Gömülü Sistem Tasarımına Giriş Introduction to Embeded System Design Using FPGA

FGPA ile Gömülü Sistem Tasarımına Giriş Introduction to Embeded System Design Using FPGA FGPA ile Gömülü Sistem Tasarımına Giriş Introduction to Embeded System Design Using FPGA Selçuk BAŞAK Özetçe Bilgisayar Mühendisliği Bölümü Yıldız Teknik Üniversitesi, İstanbul selcuk@selsistem.com Bu

Detaylı

2. SAHADA PROGRAMLANABİLİR KAPI DİZİLERİ (FPGA)

2. SAHADA PROGRAMLANABİLİR KAPI DİZİLERİ (FPGA) 1. GİRİŞ Analog devrelerden sayısal devrelere geçildiği günümüzde, sayısal işaret işlemede, sayısal filtreler önemli bir rol oynamaktadır. Birçok cihazda kullanılan sayısal filtreleri gerçeklemek için

Detaylı

İSTANBUL TEKNİK ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK FAKÜLTESİ ÖZET FONKSİYON TABANLI GÜVENLİ BİR RFID PROTOKOLÜNÜN FPGA ÜZERİNDE GERÇEKLENMESİ

İSTANBUL TEKNİK ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK FAKÜLTESİ ÖZET FONKSİYON TABANLI GÜVENLİ BİR RFID PROTOKOLÜNÜN FPGA ÜZERİNDE GERÇEKLENMESİ İSTANBUL TEKNİK ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK FAKÜLTESİ ÖZET FONKSİYON TABANLI GÜVENLİ BİR RFID PROTOKOLÜNÜN FPGA ÜZERİNDE GERÇEKLENMESİ BİTİRME ÖDEVİ YUSUF GÖRÜM 040080379 Bölümü: Elektronik ve Haberleşme

Detaylı

HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları

HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları Ders Adı Ders Kodu Dönemi Ders Saati Uygulama Saati Laboratuar Saati Kredi AKTS HDL ile Gelişmiş Sayısal Tasarım EE 425 Her İkisi 2 2 0 3 5 Ön Koşul

Detaylı

VHDL. Ece Olcay Güneş & S. Berna Örs

VHDL. Ece Olcay Güneş & S. Berna Örs VHDL Ece Olcay Güneş & S. Berna Örs Giriş VHDL VHSIC Hardware Description Language in kısaltmasıdır. VHSIC Very High Speed Integrated Circuit in kısaltmasıdır. VHDL dışında da pekçok donanım tasarlama

Detaylı

DONANIM KURULUMU. Öğr. Gör. Murat YAZICI. 1. Hafta.

DONANIM KURULUMU. Öğr. Gör. Murat YAZICI. 1. Hafta. 1. Hafta DONANIM KURULUMU Öğr. Gör. Murat YAZICI www.muratyazici.com Artvin Çoruh Üniversitesi, Artvin Meslek Yüksekokulu Bilgisayar Teknolojisi Programı Dersin İçeriği BELLEKLER Belleğin Görevi Bellek

Detaylı

BENZERSİZ SORUNLARA BENZERSİZ ÇÖZÜMLER

BENZERSİZ SORUNLARA BENZERSİZ ÇÖZÜMLER BENZERSİZ SORUNLARA BENZERSİZ ÇÖZÜMLER EĞİTİM PROGRAMLARI MATLAB İLE MÜHENDİSLİK ÇÖZÜMLERİ Amaç: Sistem tasarım ve analizinin MATLAB dilinde kolay programlama yoluyla tekrarlanabilir yapılara oturtulması

Detaylı

T.C. SÜLEYMAN DEMİREL ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ

T.C. SÜLEYMAN DEMİREL ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ T.C. SÜLEYMAN DEMİREL ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ BİTİRME ÖDEVİ FPGA YONGA MİMARİSİ ve KULLANIMI DANIŞMAN YRD. DOÇ. DR. ALİ MANZAK HAZIRLAYAN

Detaylı

GÖMÜLÜ SİSTEMLER 17.06.2015 GÖMÜLÜ SİSTEMLER 1

GÖMÜLÜ SİSTEMLER 17.06.2015 GÖMÜLÜ SİSTEMLER 1 GÖMÜLÜ SİSTEMLER 17.06.2015 GÖMÜLÜ SİSTEMLER 1 İÇERİK Gömülü Sistemler ARM işlemciler FPGA FBGA DS1006 İşlemci Kartı dspace PX10 box 17.06.2015 GÖMÜLÜ SİSTEMLER 2 GÖMÜLÜ SİSTEMLER Bir ana yada bir alt

Detaylı

(Random-Access Memory)

(Random-Access Memory) BELLEK (Memory) Ardışıl devreler bellek elemanının varlığı üzerine kuruludur Bir flip-flop sadece bir bitlik bir bilgi tutabilir Bir saklayıcı (register) bir sözcük (word) tutabilir (genellikle 32-64 bit)

Detaylı

Programlanabilir Devreler

Programlanabilir Devreler Programlanabilir Devreler Testbench & Simülasyon İçerik Tasarlamış olduğumuz sayısal sistemlerin fonksiyonel olarak istenildiği gibi gerçekleştirdiğini doğrulamak gerekir. Verilog ve VHDL gibi donanım

Detaylı

APPLICATION OF CPLD BASED LOGIC ELECTRONICS CIRCUITS

APPLICATION OF CPLD BASED LOGIC ELECTRONICS CIRCUITS 5. Uluslararası İleri Teknolojiler Sempozyumu (İATS 09), 13-15 Mayıs 2009, Karabük, Türkiye SAYISAL ELEKTRONİK DEVRELERİN CPLD TABANLI UYGULAMASI APPLICATION OF CPLD BASED LOGIC ELECTRONICS CIRCUITS Salim

Detaylı

ERCİYES ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTUSÜ BİLGİSAYAR MÜHENDİSLİĞİ ANABİLİMDALI. I. GENEL BİLGİLER Ders Adı

ERCİYES ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTUSÜ BİLGİSAYAR MÜHENDİSLİĞİ ANABİLİMDALI. I. GENEL BİLGİLER Ders Adı BİM618 Evrimsel Algoritmalar Öğretim Üyesi Prof. Dr. Derviş Karaboğa Görüşme Saatleri 8.00-17.00 E posta: karaboga@erciyes.edu.tr http://abis.erciyes.edu.tr/sorgu.aspx?sorgu=236 Erciyes Üniversitesi, Mühendislik

Detaylı

ENTEGRELER (Integrated Circuits, IC) Entegre nedir, nerelerde kullanılır?...

ENTEGRELER (Integrated Circuits, IC) Entegre nedir, nerelerde kullanılır?... ENTEGRELER (Integrated Circuits, IC) Entegre nedir, nerelerde kullanılır?... İçerik Düzeni Entegre Tanımı Entegre Seviyeleri Lojik Aileler Datasheet Okuma ENTEGRE TANIMI Entegreler(IC) chip adı da verilen,

Detaylı

T.C. SÜLEYMAN DEMİREL ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI ÜÇ EKSENLİ ROBOT KOL KONTROLÜ

T.C. SÜLEYMAN DEMİREL ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI ÜÇ EKSENLİ ROBOT KOL KONTROLÜ T.C. SÜLEYMAN DEMİREL ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI ÜÇ EKSENLİ ROBOT KOL KONTROLÜ Faruk AYATA Danışman Doç. Dr. Abdülkadir ÇAKIR YÜKSEK LİSANS TEZİ ELEKTRONİK - BİLGİSAYAR EĞİTİMİ ANABİLİM

Detaylı

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş C ile 8051 Mikrodenetleyici Uygulamaları BÖLÜM 2 8051 Mikrodenetleyicisine Giriş Amaçlar 8051 mikrodenetleyicisinin tarihi gelişimini açıklamak 8051 mikrodenetleyicisinin mimari yapısını kavramak 8051

Detaylı

VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı

VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı Kenan Baysal 1, Deniz Taşkın 2, Eser Sert 3, Nurşen Topçubaşı 4 1 Namık Kemal Üniversitesi, Hayrabolu Meslek Yüksekokulu, Bilgi Yönetimi

Detaylı

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur.

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur. HDL Dilleri HDL(Donanım Tanımlama Dili); tasarımın, HDL dillerinden her hangi bir tanesinin kullanılarak yapılmasıdır. HDL bir donanım parçasını modellemek için kullanılan yazılım dilidir. VHDL ile Verilog

Detaylı

Görüntü İşleme Algoritmalarının Fpga Donanımı Üzerinde Gerçeklenmesi. Implementatıon Image Processıng Algorıthms on FPGA Hardware

Görüntü İşleme Algoritmalarının Fpga Donanımı Üzerinde Gerçeklenmesi. Implementatıon Image Processıng Algorıthms on FPGA Hardware Görüntü İşleme Algoritmalarının Fpga Donanımı Üzerinde Gerçeklenmesi Ali Recai Çelik1, Ahmet Alkan2 1 Dicle Üniversitesi, Elektrik-Elektronik Mühendisliği Bölümü, Diyarbakır 2 KSÜ, Elektrik-Elektronik

Detaylı

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi Buse Ustaoğlu Berna Örs Yalçın İçerik Giriş Çalişmanın Amacı Mikroişlemciye Hata Enjekte Etme Adımları Hata Üreteci Devresi

Detaylı

6. Fiziksel gerçeklemede elde edilen sonuç fonksiyonlara ilişkin lojik devre şeması çizilir.

6. Fiziksel gerçeklemede elde edilen sonuç fonksiyonlara ilişkin lojik devre şeması çizilir. 5. KOMBİNEZONSAL LOJİK DEVRE TASARIMI 5.1. Kombinezonsal Devre Tasarımı 1. Problem sözle tanıtılır, 2. Giriş ve çıkış değişkenlerinin sayısı belirlenir ve adlandırılır, 3. Probleme ilişkin doğruluk tablosu

Detaylı

William Stallings Computer Organization and Architecture 9 th Edition

William Stallings Computer Organization and Architecture 9 th Edition William Stallings Computer Organization and Architecture 9 th Edition Bölüm 5 İç Hafıza Bir Hafıza Hücresinin Çalışması Bütün hafıza hücrelerinin ortak özellikleri vardır: 0 ve 1 durumundan birini gösterirler

Detaylı

KOCAELİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ

KOCAELİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ KOCAELİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ ALTERA FPGA KARTLARI LABORATUVAR UYGULAMALARININ NEXYS 4 ARTIX-7 FPGA KARTINA UYARLANMASI VE GERÇEKLENMESİ VERİLOGHDL İLE

Detaylı

Fatih Üniversitesi. İstanbul. Haziran 2010. Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır.

Fatih Üniversitesi. İstanbul. Haziran 2010. Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır. Fatih Üniversitesi SIMATIC S7-200 TEMEL KUMANDA UYGULAMALARI 1 İstanbul Haziran 2010 Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır. İÇİNDEKİLER 1. GİRİŞ...

Detaylı

T.C. YILDIZ TEKNİK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI SENTEZLENEBİLİR İŞLEMCİ TASARIMI SELÇUK BAŞAK

T.C. YILDIZ TEKNİK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI SENTEZLENEBİLİR İŞLEMCİ TASARIMI SELÇUK BAŞAK T.C. YILDIZ TEKNİK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI SENTEZLENEBİLİR İŞLEMCİ TASARIMI SELÇUK BAŞAK YÜKSEK LİSANS TEZİ BİLGİSAYAR MÜHENDİSLİĞİ ANABİLİM DALI DANIŞMAN YRD. DOÇ. DR. SONGÜL

Detaylı

5. LOJİK KAPILAR (LOGIC GATES)

5. LOJİK KAPILAR (LOGIC GATES) 5. LOJİK KPILR (LOGIC GTES) Dijital (Sayısal) devrelerin tasarımında kullanılan temel devre elemanlarına Lojik kapılar adı verilmektedir. Her lojik kapının bir çıkışı, bir veya birden fazla girişi vardır.

Detaylı

Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/

Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/ Eşzamanlı (Senkron) Ardışıl Devrelerin Tasarlanması (Design) Bir ardışıl devrenin tasarlanması, çözülecek olan problemin sözle anlatımıyla (senaryo) başlar. Bundan sonra aşağıda açıklanan aşamalardan geçilerek

Detaylı

FPGA ĐLE YAPAY SĐNĐR AĞI EĞĐTĐMĐNĐN DONANIMSAL GERÇEKLENMESĐ. Mehmet Ali ÇAVUŞLU

FPGA ĐLE YAPAY SĐNĐR AĞI EĞĐTĐMĐNĐN DONANIMSAL GERÇEKLENMESĐ. Mehmet Ali ÇAVUŞLU FPGA ĐLE YAPAY SĐNĐR AĞI EĞĐTĐMĐNĐN DONANIMSAL GERÇEKLENMESĐ Mehmet Ali ÇAVUŞLU Anahtar Kelimeler: FPGA, Yapay Sinir Ağları, VHDL, Paralel Programlama, Kayan Noktalı Aritmetik Özet Yapay sinir ağlarının

Detaylı

MİKROİŞLEMCİ MİMARİLERİ

MİKROİŞLEMCİ MİMARİLERİ MİKROİŞLEMCİ MİMARİLERİ Mikroişlemcilerin yapısı tipik olarak 2 alt sınıfta incelenebilir: Mikroişlemci mimarisi (Komut seti mimarisi), Mikroişlemci organizasyonu (İşlemci mikromimarisi). CISC 1980 lerden

Detaylı

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9 İÇİNDEKİLER BÖLÜM 1 TEMEL LOJİK KAPI DENEYLERİ 1-1 Lojik ve Anahtara Giriş 1 1-2 Lojik Kapı Devreleri... 9 a. Diyot Lojiği (DL) devresi b. Direnç-Transistor Lojiği (RTL) devresi c. Diyot-Transistor Lojiği

Detaylı

1. GİRİŞ. 1.1 Giriş ve çalışmanın amacı

1. GİRİŞ. 1.1 Giriş ve çalışmanın amacı 1. GİRİŞ 1.1 Giriş ve çalışmanın amacı Gelişen teknolojiyle internetin kullanımı her geçen gün daha da yaygınlaşmakta olup, internette yollanan veri paketleri birçok dışarıya açık networklerden geçmektedir.

Detaylı

80C51 Mikrodenetleyicilerinde Timer-Counter Yapılarının FPGA Mimarileri Kullanılarak Geliştirilmesi. Özdemir ÇETİN 1. Bölümü, Adapazarı

80C51 Mikrodenetleyicilerinde Timer-Counter Yapılarının FPGA Mimarileri Kullanılarak Geliştirilmesi. Özdemir ÇETİN 1. Bölümü, Adapazarı 80C51 Mikrodenetleyicilerinde Timer-Counter Yapılarının FPGA Mimarileri Kullanılarak Geliştirilmesi Özet Murat ÇAKIROĞLU 1 Ahmet Turan ÖZCRİT 1 alil İbrahim SKİKURT 1 Özdemir ÇTİN 1 1 Sakarya Üniversitesi,

Detaylı

1969 yılında, klasik (Elektrik) kumandanın. Elektronik karşılığı olan ilk PLC yapıldı yılında ise dört yıllık bir çalımanın ürünü

1969 yılında, klasik (Elektrik) kumandanın. Elektronik karşılığı olan ilk PLC yapıldı yılında ise dört yıllık bir çalımanın ürünü 1. PLC`nin Tarihcesi 1960 yılında yüksek verimlilik, güvenilirlik ve yeni devreler gibi üstünlükleri ile birlikte bilgisayarlar kullanılmaya başlandı. Buda beraberinde endüstriyel üretimde otomasyonu ortaya

Detaylı

Bilgisayar Yapısı MİKROİŞLEMCİ SİSTEMLERİ. Bilgisayar Temel Birimleri. MİB Yapısı. Kütükler. Kütükler

Bilgisayar Yapısı MİKROİŞLEMCİ SİSTEMLERİ. Bilgisayar Temel Birimleri. MİB Yapısı. Kütükler. Kütükler Bilgisayar Yapısı MİKROİŞLEMCİ SİSTEMLERİ Yrd. oç. r. Şule ündüz Öğüdücü Bilgisayar verilen verileri, belirlenen bir programa göre işleyen, istenildiğinde saklayabilen, gerektiği zaman geriye verebilen

Detaylı

Bellekler. Mikroişlemciler ve Mikrobilgisayarlar

Bellekler. Mikroişlemciler ve Mikrobilgisayarlar Bellekler 1 Bellekler Ortak giriş/çıkışlara, yazma ve okuma kontrol sinyallerine sahip eşit uzunluktaki saklayıcıların bir tümdevre içerisinde sıralanmasıyla hafıza (bellek) yapısı elde edilir. Çeşitli

Detaylı

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits)

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits) SE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates nd Logic Circuits) Sakarya Üniversitesi Lojik Kapılar - maçlar Lojik kapıları ve lojik devreleri tanıtmak Temel işlemler olarak VE,

Detaylı

Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS. Bilgisayar Organizasyonu BIL

Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS. Bilgisayar Organizasyonu BIL DERS BİLGİLERİ Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS Bilgisayar Organizasyonu BIL321 5 3+2 4 5 Ön Koşul Dersleri Dersin Dili Dersin Seviyesi Dersin Türü Türkçe Lisans Zorunlu / Yüz Yüze

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ Yrd. Doç. Dr. Mustafa H.B. UÇAR 1 2. HAFTA Yrd. Doç. Dr. Mustafa Hikmet Bilgehan UÇAR Entegre Yapıları Lojik Kapılar Lojik

Detaylı

T.C SELÇUK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ

T.C SELÇUK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ T.C SELÇUK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ALAN PROGRAMLAMALI KAPI DİZİLERİ (FPGA) ÜZERİNDE BİR YSA NIN TASARLANMASI VE DONANIM OLARAK GERÇEKLEŞTİRİLMESİ NECLA YILMAZ YÜKSEK LİSANS TEZİ BİLGİSAYAR

Detaylı

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ İçerik Mikroişlemci Sistem Mimarisi Mikroişlemcinin yürüttüğü işlemler Mikroişlemci Yol (Bus) Yapısı Mikroişlemci İç Veri İşlemleri Çevresel Cihazlarca Yürütülen İşlemler

Detaylı

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme PROGRAMIN ADI DERSIN KODU VE ADI DERSIN ISLENECEGI DÖNEM HAFTALIK DERS SAATİ DERSİN SÜRESİ ENDÜSTRİYEL ELEKTRONİK MİK.İŞLEMCİLER/MİK.DENETLEYİCİLER-1 2. Yıl, III. Yarıyıl (Güz) 4 (Teori: 3, Uygulama: 1,

Detaylı

EEM 306 Mikroişlemciler ve Lab. Doç.Dr. Mehmet SAĞBAŞ

EEM 306 Mikroişlemciler ve Lab. Doç.Dr. Mehmet SAĞBAŞ EEM 306 Mikroişlemciler ve Lab. Doç.Dr. Mehmet SAĞBAŞ Sayısal Sistemler ASIC (Application Specific Integrated Circuits) Belirli bir işlev için tasarlanırlar Performansları yüksektir Maliyetleri yüksektir

Detaylı

Bölüm 4 Aritmetik Devreler

Bölüm 4 Aritmetik Devreler Bölüm 4 Aritmetik Devreler DENEY 4- Aritmetik Lojik Ünite Devresi DENEYİN AMACI. Aritmetik lojik birimin (ALU) işlevlerini ve uygulamalarını anlamak. 2. 748 ALU tümdevresi ile aritmetik ve lojik işlemler

Detaylı

İşletim Sistemleri (Operating Systems)

İşletim Sistemleri (Operating Systems) İşletim Sistemleri (Operating Systems) 1 İşletim Sistemleri (Operating Systems) Genel bilgiler Ders kitabı: Tanenbaum & Bo, Modern Operating Systems:4th ed., Prentice-Hall, Inc. 2013 Operating System Concepts,

Detaylı

FPGA TABANLI DES KRİPTO ÇÖZÜCÜ SİSTEMİ YÜKSEK LİSANS TEZİ. Müh. Bora EMİROĞLU. Anabilim Dalı : Disiplinler Arası Programlar

FPGA TABANLI DES KRİPTO ÇÖZÜCÜ SİSTEMİ YÜKSEK LİSANS TEZİ. Müh. Bora EMİROĞLU. Anabilim Dalı : Disiplinler Arası Programlar İSTANBUL TEKNİK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI DES KRİPTO ÇÖZÜCÜ SİSTEMİ YÜKSEK LİSANS TEZİ Müh. Bora EMİROĞLU Anabilim Dalı : Disiplinler Arası Programlar Programı : Savunma Teknolojileri

Detaylı

İçindekiler FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ SİSTEMİ ŞEMASI:... 5 FPGA GELİŞTİRME KARTINA PROGRAM YÜKLEME:... 6

İçindekiler FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ SİSTEMİ ŞEMASI:... 5 FPGA GELİŞTİRME KARTINA PROGRAM YÜKLEME:... 6 Hazırlayan: Erkan ÇİL 2016 2 FPGA Geliştirme Kartı Kullanıcı Kılavuzu İçindekiler FPGA Geliştirme Kartı Bilgileri FPGA Geliştirme Kartının Kullanımı FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ

Detaylı

İNTERNET PROGRAMCILIĞI DERSİ

İNTERNET PROGRAMCILIĞI DERSİ İNTERNET PROGRAMCILIĞI DERSİ Dersin Modülleri İnternet Programcılığı 1 İnternet Programcılığı 2 İnternet Programcılığı 3 İnternet Programcılığı 4 İnternet Programcılığı 5 Kazandırılan Yeterlikler Programlama

Detaylı

BİLGİSAYAR DONANIMI KONU: ANAKART RAM-ROM ADI: KÜBRA SOYADI: ŞAHİN NO: SINIFI:1/B ADI: SELMA SOYADI: ÇELİK NO: SINIFI:1/B

BİLGİSAYAR DONANIMI KONU: ANAKART RAM-ROM ADI: KÜBRA SOYADI: ŞAHİN NO: SINIFI:1/B ADI: SELMA SOYADI: ÇELİK NO: SINIFI:1/B KONU: ANAKART RAM-ROM BİLGİSAYAR DONANIMI ADI: KÜBRA SOYADI: ŞAHİN NO: 155511106 SINIFI:1/B ADI: SELMA SOYADI: ÇELİK NO: 165511070 SINIFI:1/B ÖĞRETMEN: YILMAZ EROĞLU İÇİNDEKİLER ANAKARTIN TARİHÇESİ...3

Detaylı

Mikroişlemcili Sistemler ve Laboratuvarı 5.HAFTA:BÖLÜM-1

Mikroişlemcili Sistemler ve Laboratuvarı 5.HAFTA:BÖLÜM-1 SAKARYA ÜNİVERSİTESİ Bilgisayar ve Bilişim Bilimleri Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemcili Sistemler ve Laboratuvarı 5.HAFTA:BÖLÜM-1 Doç.Dr. Ahmet Turan ÖZCERİT Doç.Dr. Cüneyt BAYILMIŞ

Detaylı

MİKROİŞLEMCİLER. Mikroişlemcilerde Kullanılan Yeni Teknolojiler ve Mikroişlemcilerin Rakipleri

MİKROİŞLEMCİLER. Mikroişlemcilerde Kullanılan Yeni Teknolojiler ve Mikroişlemcilerin Rakipleri MİKROİŞLEMCİLER MİKROİŞLEMCİLER Mikroişlemcilerde Kullanılan Yeni Teknolojiler ve Mikroişlemcilerin Rakipleri Mikroişlemcilerde Kullanılan Yeni Teknolojiler Mikroişlemcilerin performanslarının arttırılmasına

Detaylı

EEM 419-Mikroişlemciler Güz 2016

EEM 419-Mikroişlemciler Güz 2016 EEM 419-Mikroişlemciler Güz 2016 Katalog Bilgisi : EEM 419 Mikroişlemciler (3+2) 4 Bir mikroişlemci kullanarak mikrobilgisayar tasarımı. Giriş/Çıkış ve direk hafıza erişimi. Paralel ve seri iletişim ve

Detaylı

Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose

Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose Mehmet BAKACAK, Taner TOPAL Bilgisayar Mühendisliği Kırıkkale

Detaylı

Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS. Mantık Devreleri EEE307 5 3+0 3 3

Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS. Mantık Devreleri EEE307 5 3+0 3 3 DERS BİLGİLERİ Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS Mantık Devreleri EEE307 5 3+0 3 3 Ön Koşul Dersleri Dersin Dili Dersin Seviyesi Dersin Türü İngilizce Lisans Zorunlu / Yüz Yüze Dersin

Detaylı

SFINKS DİZİ ŞİFRELEME ALGORİTMASININ VHDL İLE YAZILIMI VE FPGA ÜZERİNDE GERÇEKLENMESİ

SFINKS DİZİ ŞİFRELEME ALGORİTMASININ VHDL İLE YAZILIMI VE FPGA ÜZERİNDE GERÇEKLENMESİ SFINKS DİZİ ŞİFRELEME ALGORİTMASININ VHDL İLE YAZILIMI VE FPGA ÜZERİNDE GERÇEKLENMESİ Proje Sahibi: Ahmed Yasir DOĞAN Üniversite: İstanbul Teknik Üniversitesi Bölümü: Elektronik Mühendisliği, Danışmanı:

Detaylı

ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 2

ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 2 ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 2 DENEYİN ADI: LOJİK FONKSİYONLARIN SADECE TEK TİP KAPILARLA (SADECE NAND (VEDEĞİL), SADECE NOR (VEYADEĞİL)) GERÇEKLENMESİ VE ARİTMETİK İŞLEM DEVRELERİ

Detaylı

PROGRAMLAMAYA GİRİŞ FONKSİYONLAR

PROGRAMLAMAYA GİRİŞ FONKSİYONLAR PROGRAMLAMAYA GİRİŞ FONKSİYONLAR Fonksiyonlar C programlama dili fonksiyon olarak adlandırılan alt programların birleştirilmesi kavramına dayanır. Bir C programı bir ya da daha çok fonksiyonun bir araya

Detaylı

EEM 419-Mikroişlemciler Güz 2017

EEM 419-Mikroişlemciler Güz 2017 EEM 419-Mikroişlemciler Güz 2017 Katalog Bilgisi : EEM 419 Mikroişlemciler (3+2) 4 Bir mikroişlemci kullanarak mikrobilgisayar tasarımı. Giriş/Çıkış ve direk hafıza erişimi. Paralel ve seri iletişim ve

Detaylı

DOĞRULUK TABLOLARI (TRUTH TABLE)

DOĞRULUK TABLOLARI (TRUTH TABLE) LOJİK KAPILAR DOĞRULUK TABLOLARI (TRUTH TABLE) Doğruluk tabloları sayısal devrelerin tasarımında ve analizinde kullanılan en basit ve faydalı yöntemdir. Doğruluk tablosu giriş değişkenlerini alabileceği

Detaylı

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN Bilgisayar Mühendisliğine Giriş Yrd.Doç.Dr.Hacer KARACAN Mikroişlemci Nedir? Bir bilgisayarın en önemli parçası Mikroişlemcisidir. Hiçbir bilgisayar mikroişlemci olmadan çalışamaz. Bu nedenle Mikroişlemci

Detaylı

KONTROL VE OTOMASYON KULÜBÜ

KONTROL VE OTOMASYON KULÜBÜ KONTROL VE OTOMASYON KULÜBÜ C DİLİ İLE MİKROKONTROLÖR PROGRAMLAMA EĞİTİMİ Serhat Büyükçolak Ahmet Sakallı 2009-2010 Güz Dönemi Eğitimleri Mikrokontrolör Gömülü sistemlerin bir alt dalı olan mikrokontrolör

Detaylı

BİL 201 Boole Cebiri ve Temel Geçitler (Boolean Algebra & Logic Gates) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi

BİL 201 Boole Cebiri ve Temel Geçitler (Boolean Algebra & Logic Gates) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi BİL 201 Boole Cebiri ve Temel Geçitler (Boolean Algebra & Logic Gates) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi Temel Tanımlar Kapalılık (closure) Birleşme özelliği (associative law) Yer değiştirme

Detaylı

Hacettepe Robot Topluluğu

Hacettepe Robot Topluluğu Hacettepe Robot Topluluğu PIC Assembly Dersleri 1. Ders: PIC Programlamaya Giriş HUNRobotX - PIC Assembly Dersleri 1. Ders: PIC Programlamaya Giriş Yazan: Kutluhan Akman, Düzenleyen: Canol Gökel - 4 Haziran

Detaylı

Hale Yenginer 1, Çağatay Canbaz 1, S. Ünsal Keser 1,, Hayriye Korkmaz 2

Hale Yenginer 1, Çağatay Canbaz 1, S. Ünsal Keser 1,, Hayriye Korkmaz 2 İSTANBUL AYDIN ÜNİVERSİTESİ DERGİSİ (İAÜD) Yıl 4, Sayı 13, Sayfa (11-22) KONTRAST ALGILAYICISI KULLANILARAK FPGA TABANLI ŞERİTTEN AYRILMA Hale Yenginer 1, Çağatay Canbaz 1, S. Ünsal Keser 1,, Hayriye Korkmaz

Detaylı

Makine Mühendisliği İçin Elektrik-Elektronik Bilgisi. Sayısal Elektronik

Makine Mühendisliği İçin Elektrik-Elektronik Bilgisi. Sayısal Elektronik Makine Mühendisliği İçin Elektrik-Elektronik Bilgisi Sayısal Elektronik Günümüz Elektroniği Analog ve Sayısal olmak üzere iki temel türde incelenebilir. Analog büyüklükler sonsuz sayıda değeri içermesine

Detaylı

DENEY 1a- Kod Çözücü Devreler

DENEY 1a- Kod Çözücü Devreler DENEY 1a- Kod Çözücü Devreler DENEYİN AMACI 1. Kod çözücü devrelerin çalışma prensibini anlamak. GENEL BİLGİLER Kod çözücü, belirli bir ikili sayı yada kelimenin varlığını belirlemek için kullanılan lojik

Detaylı

Konular MİKROİŞLEMCİ SİSTEMLERİ. Giriş. Bilgisayar Tarihi. Elektronik Kuşak. Elektronik Öncesi Kuşak

Konular MİKROİŞLEMCİ SİSTEMLERİ. Giriş. Bilgisayar Tarihi. Elektronik Kuşak. Elektronik Öncesi Kuşak Konular MİKROİŞLEMCİ SİSTEMLERİ Giriş: Bilgisayar Tarihi Mikroişlemci Temelli Sistemler Sayı Sistemleri Doç. Dr. Şule Gündüz Öğüdücü http://ninova.itu.edu.tr/tr/dersler/bilgisayar-bilisim-fakultesi/30/blg-212/

Detaylı

T.C. SELÇUK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ

T.C. SELÇUK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ T.C. SELÇUK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ GÖRÜNTÜ İŞLEME UYGULAMALARI İÇİN FPGA GELİŞTİRME KARTI TASARIMI VE GERÇEKLEŞTİRİLMESİ Kemal ERDOĞAN YÜKSEK LİSANS TEZİ Elektrik-Elektronik Mühendisliği

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 8. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar MULTIPLEXERS (VERİ SEÇİCİLER), ÜÇ DURUMLU BUFFERS, DECODERS (KOD ÇÖZÜCÜLER) BELLEK ELEMANLARI 2 8.2.

Detaylı

Şekil-1 MSP430 serisi bir mikro denetleyici

Şekil-1 MSP430 serisi bir mikro denetleyici DENETİM SİNYALLERİ ÜRETEN İŞLEMCİLER Yrd.Doç.Dr. Ahmet TEKE, Adil ÖZBARUT ahmetteke@cu.edu.tr, adilozbarut@gmail.com Çukurova Üniversitesi, Mühendislik Mimarlık Fakültesi Elektrik Elektronik Mühendisliği

Detaylı

PLC (Programlanabilir Lojik. Denetleyici)

PLC (Programlanabilir Lojik. Denetleyici) PLC (Programlanabilir Lojik Denetleyici) İÇERİK Giriş PLC nedir? PLC lerin Uygulama Alanları PLC lerin Yapısı PLC lerin Avantajları PLC Çeşitleri SİEMENS PLC JAPON PLCLER KARŞILAŞTIRMA Giriş PLC

Detaylı

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ SAYISAL DEVRE UYGULAMALARI Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ İÇİNDEKİLER ŞEKİLLER TABLOSU... vi MALZEME LİSTESİ... viii ENTEGRELER... ix 1. Direnç ve Diyotlarla Yapılan

Detaylı

Donanım İÇERİK. Bölüm 1:FATEK FBs PLC Serisine Genel Bakış. Bölüm 2:Sistem Mimarisi

Donanım İÇERİK. Bölüm 1:FATEK FBs PLC Serisine Genel Bakış. Bölüm 2:Sistem Mimarisi Donanım İÇERİK Bölüm 1:FATEK FBs PLC Serisine Genel Bakış 1.1 Ana Ünitenin Görünümü... H1-1 1.2 Genişleme Modülünün Görünümü... H1-2 1.3 Haberleşme Modülünün Görünümü... H1-4 1.4 FBS-PLC Modellerinin Listesi...

Detaylı

SAYISAL DEVRELER. İTÜ Bilgisayar Mühendisliği Bölümündeki donanım derslerinin bağlantıları

SAYISAL DEVRELER. İTÜ Bilgisayar Mühendisliği Bölümündeki donanım derslerinin bağlantıları SAYISAL DEVRELER Doç.Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü Sayısal Devreler Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/

Detaylı

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN Bahar Dönemi Öğr.Gör. Vedat MARTTİN 8086/8088 MİKROİŞLEMCİSİ İÇ MİMARİSİ Şekilde x86 ailesinin 16-bit çekirdek mimarisinin basitleştirilmiş bir gösterimi verilmiştir. Mikroişlemci temel iki ayrı çalışma

Detaylı

Uzaktan Eğitim Uygulama ve Araştırma Merkezi

Uzaktan Eğitim Uygulama ve Araştırma Merkezi JAVA PROGRAMLAMA Öğr. Gör. Utku SOBUTAY İÇERİK 2 Java da Fonksiyon Tanımlamak Java da Döngüler Java da Şart İfadeleri Uygulamalar Java da Fonksiyon Tanımlamak JAVA DA FONKSİYON TANIMLAMAK 4 Fonksiyonlar;

Detaylı

BİLGİSAYAR MİMARİSİ. İkili Kodlama ve Mantık Devreleri. Özer Çelik Matematik-Bilgisayar Bölümü

BİLGİSAYAR MİMARİSİ. İkili Kodlama ve Mantık Devreleri. Özer Çelik Matematik-Bilgisayar Bölümü BİLGİSAYAR MİMARİSİ İkili Kodlama ve Mantık Devreleri Özer Çelik Matematik-Bilgisayar Bölümü Kodlama Kodlama, iki küme elemanları arasında karşılıklığı kesin olarak belirtilen kurallar bütünüdür diye tanımlanabilir.

Detaylı

ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOULU

ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOULU ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOULU BMT109 SAYISAL ELEKTRONİK Öğr.Gör.Uğur YEDEKÇİOğLU MANTIKSAL KAPI DEVRELERİ Dijital elektroniğin temelini lojik(mantık) kapılar oluşturmaktadır. Dijital devreler

Detaylı

Klonlanamaz Fonksiyonlar ve Yardımcı Bilgiler Kullanılarak Patent Hakları Korunması, Özgün Algoritma ve Donanımın Güvenliğinin Sağlanması

Klonlanamaz Fonksiyonlar ve Yardımcı Bilgiler Kullanılarak Patent Hakları Korunması, Özgün Algoritma ve Donanımın Güvenliğinin Sağlanması İSTANBUL TEKNİK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ Klonlanamaz Fonksiyonlar ve Yardımcı Bilgiler Kullanılarak Patent Hakları Korunması, Özgün Algoritma ve Donanımın Güvenliğinin Sağlanması YÜKSEK LİSANS

Detaylı

PROGRAMLAMAYA GİRİŞ. Öğr. Gör. Ayhan KOÇ. Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay.

PROGRAMLAMAYA GİRİŞ. Öğr. Gör. Ayhan KOÇ. Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay. PROGRAMLAMAYA GİRİŞ Öğr. Gör. Ayhan KOÇ Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay., 2007 Algoritma ve Programlamaya Giriş, Ebubekir YAŞAR, Murathan Yay., 2011

Detaylı