ARM Ders Notları. SysCtlClockSet( SYSCTL_SYSDIV_4 SYSCTL_USE_PLL SYSCTL_OSC_MAIN SYSCTL_XTAL_8MHZ);

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "ARM Ders Notları. SysCtlClockSet( SYSCTL_SYSDIV_4 SYSCTL_USE_PLL SYSCTL_OSC_MAIN SYSCTL_XTAL_8MHZ);"

Transkript

1 Faz Kilitlemeli Döngü (Phase-Look-Loop)-PLL Normalde bir microdenetleyicinin çalışma hızı dışarıdaki bir kristal ile belirlenir. Stellaris EKK- LM3S1968 geliştirme kartının 8 MHz lik bir kristali vardır. Texas Instruments tarafından üretilen Tiva EK LM4F120XL, EK-TM4C123GXL ve EK-TM4C1294-XL kartlarının 16 MHz lik bir kristali var. Birçok microdenetleyicinin içinde yazılımın çalışma hızını ayarlamasını sağlayan bir faz kilitleme döngüsü (PLL) bulunur. Çalışma frekansı yazılımın çalışma hızı ile harcanan elektrik gücü arasında nasıl bir ilişki olacağını belirler. Daha yüksek frekanslarda çalışan bir microdenetleyici üzerindeki yazılımı hızlı çalıştıracaktır, fakat daha fazla güç tüketecektir. Daha yavaş bir frekans ise daha az güç tüketimi ve aynı zamanda daha az ısınma demektir. LM3S1969 ve TM4C microdenetleyicilerinin standart veri yolu (bus) hızı içerideki bir osilatör ile belirlenir. Bu başlangıçta PLL in aktif olmadığı anlamına da gelir. Örneğin LM3S1968 in hızı 12 MHz ± %30, TM4C nin içindeki osilatör ise 16 MHz ± %1 olarak çalışır. Microdenetleyicinin içindeki osilator dışarıdan bağlanacak bir kristale göre önemli ölçüde daha az hassastır fakat daha az güç tüketir. Bu nedenle yapacağımız birçok uygulamada veri yolu saatini (bus clock) içerideki osilatörü ve PLL i kullanarak elde edeceğiz. PLL i aktive etmenin iki yolu var. Birincisi yazılımın kütüphanesinin sağladığı fonksiyonu kullanmak, ikincisi ise saat registerlerine direkt olarak yazmaktır. Genelde kütüphane fonksiyonlarının kullanımı tercih edilmelidir. Bu şekilde çözüm daha sağlam ( az hatalı ) olacak ve yazılan kodun başka ortamlarda kullanımı kolaylaşacaktır. Fakat amaç microdenetleyicilerin çalışma prensiplerini öğrenmek olduğu için ikinci yöntemi kullanarak PLL in nasıl çalıştığını görmekte fayda vardır. Birinci olarak Stellaris/Tiva kütüphanesindeki SysCtlClockSet fonksiyonunu çağırıp hızı değiştirebiliriz. Bu fonksiyon sysctl.c dosyasında tanımlanmıştır. Bir LM3S sistemini 8MHz bir kristale ile 50 MHz hızda çalıştırmak istediğimizi varsayalım. İstenilen veri yolu hızı SYSCTL_SYSDIV_4 parametresi ile belirlenecektir. Bu durumda hız 200 MHZ in 4 ile bölünmesinden elde edilir. Kütüphane fonskiyonu PLL i SYSCTL_USE_PLL parametresi ile aktive eder. Ana osilatör (main oscillator) kristali dışarıdan sağlanan osilatördür. Son parametre dışarıdan bağlanan kristalin frekansını belirler. SysCtlClockSet( SYSCTL_SYSDIV_4 SYSCTL_USE_PLL SYSCTL_OSC_MAIN SYSCTL_XTAL_8MHZ); Başka bir örnek olarak bir LM3S microdenetleyiciyi 6 MHz kristal ile 20 MHz hızda çalıştırmak istediğimizi varsayalım. On ile bölmek 200 MHZ lik taban frekansı 20 MHz e düşürecektir. SysCtlClockSet( SYSCTL_SYSDIV_10 SYSCTL_USE_PLL SYSCTL_OSC_MAIN SYSCTL_XTAL_6MHZ); Celal Bayar Üniversitesi Manisa-2015 Sayfa 1

2 Bir TM4C sisteminin 16 MHz kristal ile 80 MHz hızda çalıştırılmak istendiğini kabul edelim. 200 MHz olan taban frekansı 2.5 ile bölmek veri yolu frekansını 80 MHz olarak belirleyecektir. SysCtlClockSet( SYSCTL_SYSDIV_2_5 SYSCTL_USE_PLL SYSCTL_OSC_MAIN SYSCTL_XTAL_16MHZ); Şekil 4.23 TM4C123 microdenetleyicilerde PLL dahil saat yapısının blok diyagramı Kodumuzun başka ortamlara port edilmesini kolaylaştırmak için mümkün olduğunca kütüphane fonksiyonlarını kullanmak faydalı olacaktır. PLL in çalışmasına bir örnek vermek için Şekil 4.23 i kullanacağız. Şekilde bir TM4C microdenetleyicisine dışarıdan bir kristalin bağlandığı görülmektedir. Stellaris/Tiva microdenetleyicilerindeki PLL de aynı prensiple çalışırlar. Tablo 4.9 işlemcinin çalışma hızını belirlemek için kullanılan saat register değerlerini göstermektedir. Ana osilatörünün çıkışı (Main Osc) kristal frekansı ile aynıdır. OSCSRC bitlerini 0 yaparak konrol multiplekserinin saat kaynağı olarak ana osilatörü seçmesini sağlayabiliriz. Program 4.6 bir microdenetleyicinin 16 MHz lik ana osilatör ile 80 MHz de çalıştırılmasını göstermektedir. 0) RCC2 kullan çünkü daha fazla seçenek sunar. 1) birinci adım BYPASS2 yi set etmektir (bit 11). Bu noktada PLL bypass edilmiş olur ve sistem saatini bölen bir şey yoktur. 2) İkinci adım dört XTAL bitini kullanarak kristal frekansını belirlemektir. Tablo 4.9 da verilen kodlar bu işlem için kullanılır. OSCSRC2 bitleri ana osilatörü seçmek için temizlenir (sıfır değeri atanır). Celal Bayar Üniversitesi Manisa-2015 Sayfa 2

3 3) Üçüncü adım PWRDN2 (bit 13) i temizleyerek PLL i aktive etmektir. 4) Dördüncü adım 7-bit olan SYSDIV2 alanını kullanarak saat bölücüyü configure edip aktive etmektir. Eğer 7-bitlik SYSDIV2 nin değeri n ise saat n+1 ile bölünür. 400 MHz lik PLL den 80 MHz elde etmek için 5 ile bölmek gerekir. Bu nedenle SYSDIV2 alanına 4 yazılmalıdır. 5) Beşinci adım PLL in istenilen değere gelmesini beklemektir. Bunun için SYSCTL_RIS_R alanındaki PLLRIS (bit 6) in yüksek değer almasını beklemek gerekir. 6) Son adım BYPASS2 bitini temizleyerek PLL i sisteme bağlamaktır. Bu programı başka microdenetleyicilerde kullanmak için kristal frekansını ve saat bölümünü değiştirmek gerekecektir. Tablo 4.9 A Tablo 4.9 B Celal Bayar Üniversitesi Manisa-2015 Sayfa 3

4 #define SYSDIV2 4 void PLL_Init(void) // 0) Use RCC2 SYSCTL_RCC2_R = 0x ; // USERCC2 // 1) bypass PLL while initializing SYSCTL_RCC2_R = 0x ; // BYPASS2, PLL bypass // 2) select the crystal value and oscillator source SYSCTL_RCC_R = (SYSCTL_RCC_R & ~0x000007C0) // clear bits x ; // 10101, configure for 16 MHz crystal SYSCTL_RCC2_R &= ~0x ; // configure for main oscillator source // 3) activate PLL by clearing PWRDN SYSCTL_RCC2_R &= ~0x ; // 4) set the desired system divider SYSCTL_RCC2_R = 0x ; // use 400 MHz PLL SYSCTL_RCC2_R = (SYSCTL_RCC2_R&~0x1FC00000)+(SYSDIV2<<22); // 80 MHz // 5) wait for the PLL to lock by polling PLLLRIS while((sysctl_ris_r&0x )==0)}; // wait for PLLRIS bit // 6) enable use of PLL by clearing BYPASS SYSCTL_RCC2_R &= ~0x ; } Program 4.6a. TM4C123 sisteminin 16 MHz lik bir kristal ile 80 MHz de çalıştırılması PLL_Init ; 0) configure the system to use RCC2 for advanced features LDR R3, =SYSCTL_RCC2_R ; R3 = &SYSCTL_RCC2_R LDR R2, [R3] ORR R2, R2, #0x ; USERCC2 STR R2, [R3] ; 1) bypass PLL while initializing ORR R2, R2, #0x ; BYPASS2 STR R2, [R3] ; 2) select the crystal value and oscillator source LDR R1, =SYSCTL_RCC_R ; R1 =&SYSCTL_RCC_R LDR R0, [R1] BIC R0, R0, #0x000007C0 ; clear XTAL field ORR R0, R0, #0x ; configure for 16 MHz crystal BIC R2, R2, #0x ; MOSC ; 3) activate PLL by clearing PWRDN BIC R2, R2, #0x ; Power-Down PLL ; 4) set the desired system divider ORR R2, R2, #0x ; use 400 MHz PLL BIC R2, R2, #0x1FC00000 ; clear system clock divider field ADD R2, R2, #(SYSDIV2<<22) ; SYSDIV2 = 4 (80 MHz clock) STR R2, [R3] ; set RCC2 ; 5) wait for the PLL to lock by polling PLLLRIS LDR R1, =SYSCTL_RIS_R ; R1 = &SYSCTL_RIS_R PLL_Init_loop LDR R0, [R1] ; R0 = [R1] (value) ANDS R0, R0, #0x ; PLL RIS BEQ PLL_Init_loop ; if(r0 == 0), keep polling Celal Bayar Üniversitesi Manisa-2015 Sayfa 4

5 ; 6) enable use of PLL by clearing BYPASS BIC R2, R2, #0x ; BYPASS2 STR R2, [R3] ; enable PLL ARM Ders Notları Program 4.6b. TM4C123 sisteminin 16 MHz lik bir kristal ile 80 MHz de çalıştırılması SysTick Zamanlayıcısı SysTick bekleme zamanları ve periyodik kesmeler oluşturmak için kullanılan basit bir sayaçtır. Bütün Cortex-M mikrodenetleyicileri içerisinde olduğu için yazılımınızda SySTick sayacını kullanmak taşınlabilirlik açısından bir sorun oluşturmaz. Yazılımınız diğer mikrodenetleyiciler üzerinde de kolayca çalışır. Tablo 4.10 SysTick için kullanılan Register tanımlarını göstermektedir. SysTick in temelinde bus frekansına uygun hızda aşağıya doğru sayan 24 bitlik bir sayaç var. SysTick zamanlayıcısı dört adımda başlatılabilir. İlk adımda ENABLE biti sıfırlanarak SysTick ayarlama işlemleri sırasında kapatılır. İkinci olarak RELOAD registerinin değeri girilir. Üçünçü olarak NVIC_ST_CURRENT_R registerine temizleme amacıyla değer yazılır. En son olarak NVIC_ST_CTRL_R kontrol registerine istediğmiz çalışma modu yazılır. CLK_SRC biti ana saati (core clock) seçecek şekilde ayarlanır. CLK_SRC=1 olmak zorundadır çünkü LM3S/TM4C familyasında dış saat desteklenmemiştir. Kitabın 9 uncu bölümünde kesmeleri çalıştırmak için INTEN bitini bir yapacağız. Fakat bu bölümde INTEN e sıfır yazarak kesmeleri aktif hale getirmeyeceğiz. Bundan sonra ENABLE bitini bir yaparak sayacı çalıştıracağız. CURRENT değeri 1 den 0 a geçtiğine COUNT bayrağı set edilir. Bir sonraki zaman diliminde CURRENT registerine RELOAD değeri yüklenir. Bu şekilde SysTick sayacı devamlı aşağıya doğru sayar. Eğer RELOAD değeri n ise, SysTick sayacı modülo n+1 mantığıyla çalışıp bu değerleri alır ( n, n-1, n-2 1, 0, n,n-1, ). Başka bir deyisle n+1 sayı sonra baştan sayılır. COUNT bayrağı bir kesme başlatmak için ayarlanabilir. Fakat bu ilk örneklerde kesmeler kullanılmayacaktır. Tablo 4.10 SysTick zamanlayıcısı registerleri Eğer PLL i mikrodenetleyiciyi 80 MHZ hızda çalıştırmaya göre ayarlayıp çalıştırırsak SysTick sayacı her 12.5 nano saniyede bir aşağıya sayar. Genel olarak veriyolu saatinin (bus clock) periyodu t ise COUNT bayrağı her (n+1)t zamanında bir olacaktır. NVIC_ST_CTRL_R control registeri okunarak 16 ıncı bit olan COUNT bayrağı elde edilir, sayaç ve bayrak tekrar sıfırlanır. Ayrıca NVIC_ST_CTRL_R registerine bir şey yazmak da sayaç ve bayrağın sıfırlanmasına sebep olur. Program 4.7 SysTick zamanlayıcısını bir zaman gecikmesi oluşturmak için kullanıyor. Örnek olarak kullanıcı SysTick_Wait10ms(123) prosedürünü çağırarak 1.23 saniyelik bir geçikme oluşturur. RELOAD registerine istenen geçikme değerine uygun şekilde bir sayı yazılır. Eğer program 4.6 da verilen PLL fonksiyonu çalıştırılırsa sayacın her döngüsü 12.5 ns olur. CURRENT Celal Bayar Üniversitesi Manisa-2015 Sayfa 5

6 registerine yazmak sayacı ve CTRL registerindeki COUNT bayrağını sıfırlayacaktır. SysTick zamanlayıcısı delay değişkeni içinde verilen sayı kadar aşağıya saydıktan sonra COUNT bayrağı bir olur ve döngü bitirilir. SysTick zamanlayıcısı 24 bit olduğu için SysTick_Wait ile en fazla 2 24 * 12.5 ns beklenebilir. Bu da yaklaşık 200 ms dir. Daha fazla beklemek için SysTick_Wait10msfonksiyonu SysTick_Wait i tekrarlı olarak çağırır. 800,000 * 12.5 ns nin 10 ms olduğuna dikkat edelim. voidsystick_init(void) NVIC_ST_CTRL_R = 0; // 1) disable SysTick during setup NVIC_ST_RELOAD_R = 0x00FFFFFF; // 2) maximum reload value NVIC_ST_CURRENT_R = 0; // 3) any write to current clears it NVIC_ST_CTRL_R = 0x ; // 4) enable SysTick with core clock } // The delay parameter is in units of the 80 MHz core clock. (12.5 ns) voidsystick_wait(uint32_t delay) NVIC_ST_RELOAD_R = delay-1; // number of counts to wait NVIC_ST_CURRENT_R = 0; // any value written to CURRENT clears while((nvic_st_ctrl_r&0x )==0) }// wait for count flag } // 10000us equals 10ms void SysTick_Wait10ms(uint32_t delay) uint32_ti; for(i=0; i<delay; i++) SysTick_Wait(800000); // wait 10ms } } Program 4.7a. Timer functions that implement a time delay (SysTick_xxx.zip). SysTick_Init LDR R1, =NVIC_ST_CTRL_R MOV R0, #0 LDR R1, =NVIC_ST_RELOAD_R LDR R0, =0x00FFFFFF LDR R1, =NVIC_ST_CURRENT_R MOV R0, #0 LDR R1, =NVIC_ST_CTRL_R MOV R0, #0x05 BX LR ; SysTick_Wait ; Time delay using busy wait. ; Input: R0 delay parameter in units of the core clock (20 nsec) ; Output: none ; Modifies: R0, R1, R3 SysTick_Wait LDR R1, =NVIC_ST_RELOAD_R SUB R0, #1 ;disable SysTick during setup ;R1 = &NVIC_ST_RELOAD_R ;maximum reload value ;[R1] = R0 = NVIC_ST_RELOAD_M ;R1 = &NVIC_ST_CURRENT_R ;any write to current clears it ;clear counter ;enable SysTick with core clock ;ENABLE and CLK_SRC bits set ;R1 = &NVIC_ST_RELOAD_R Celal Bayar Üniversitesi Manisa-2015 Sayfa 6

7 ;delay-1, number of counts to wait LDR R1, =NVIC_ST_CTRL_R ;R1 = &NVIC_ST_CTRL_R SysTick_Wait_loop LDR R3, [R1] ;R3 = NVIC_ST_CTRL_R ANDS R3, R3, #0x ;Count set? BEQ SysTick_Wait_loop BX LR ; SysTick_Wait10ms ; Time delay using busy wait. This assumes 50 MHz clock ; Input: R0 number of times to wait 10 ms before returning ; Output: none ; Modifies: R0 DELAY10MS EQU ;clock cycles in 10 ms (assumes 50 MHz clock) SysTick_Wait10ms PUSH R4, LR} ;save current value of R4 and LR MOVS R4, R0 ;R4 = R0 = remainingwaits BEQ SysTick_Wait10ms_done ;R4 == 0, done SysTick_Wait10ms_loop LDR R0, =DELAY10MS ;R0 = DELAY10MS BL SysTick_Wait ;wait 10 ms SUBS R4, R4, #1 ; R4 = R4 1 ;remainingwaits-- BHI SysTick_Wait10ms_loop ;if(r4 > 0), wait another 10 ms SysTick_Wait10ms_done POP R4, PC} Program 4.7b. Timer functions that implement a time delay (SysTick_xxxasm.zip). Celal Bayar Üniversitesi Manisa-2015 Sayfa 7

Timer. Suhap SAHIN {\} /\

Timer. Suhap SAHIN {\} /\ Timer Suhap SAHIN 0 {\ /\ clock clock Phase-Lock-Loop (Faz-Kilit-Döngü) 400 MHz Faz-Kilit-Döngü ARM mikrodenetleyiciler Faz-Kilit-Döngüleri (PLL'ler) ile donatılmıstır. Tiva kartı, dahili bir 400 MHz PLL

Detaylı

SysTick Kesmesi. Suhap SAHIN {\} /\

SysTick Kesmesi. Suhap SAHIN {\} /\ SysTick Kesmesi Suhap SAHIN {\ /\ Mesgul Bekleme islem_1 islem_2 islem_3 islem_4 islem_5 Seven Segment Buton Led Seven Segment Buton Led Cortex M4 8 32k RAM GPIO Port B GPIO Port A 8 Eight UARTs Four I2Cs

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar)

Detaylı

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar) Bus

Detaylı

Sistem Programlama Deney 1

Sistem Programlama Deney 1 Sistem Programlama Deney 1 Deney başlamadan önce deney grubu listenizi aşağıdaki dokümana yazınız: https://docs.google.com/spreadsheets/d/1gxplmgkkfwlutcvn9_its7tt rcwuzkqfnwhlpfdodhw/edit?usp=sharing

Detaylı

BÖLÜM 7 XTAL2 XTAL1. Vss. Şekil Mikrodenetleyicisi osilatör bağlantı şekli. Bir Makine Çevrimi = 12 Osilatör Periyodu

BÖLÜM 7 XTAL2 XTAL1. Vss. Şekil Mikrodenetleyicisi osilatör bağlantı şekli. Bir Makine Çevrimi = 12 Osilatör Periyodu BÖLÜM 7 7. ZAMANLAYICI/SAYICI YAPISI: 7.1. Sistem Saat üreteci ve Makine Çevrimi Bilgi: Saat üreteci bir mikrodenetleyicinin fonksiyonlarını yerine getirebilmesi için gerekli olan saat darbelerini üreten

Detaylı

C-Serisi PLC İleri Seviye Eğitim

C-Serisi PLC İleri Seviye Eğitim C-Serisi PLC İleri Seviye Eğitim 1 PLC ye Giriş 2 PLC ye Giriş 3 PLC ye Giriş CJ1 I/O Modülleri - 8/16/32/64pts Max I/O - 160,640 Max Program Kapasitesi - 20K Steps Komut sayısı - 400 4 PLC Ladder Diyagram

Detaylı

Program Kodları. void main() { trisb=0; portb=0; while(1) { portb.b5=1; delay_ms(1000); portb.b5=0; delay_ms(1000); } }

Program Kodları. void main() { trisb=0; portb=0; while(1) { portb.b5=1; delay_ms(1000); portb.b5=0; delay_ms(1000); } } Temrin1: PIC in PORTB çıkışlarından RB5 e bağlı LED i devamlı olarak 2 sn. aralıklarla yakıp söndüren programı yapınız. En başta PORTB yi temizlemeyi unutmayınız. Devre Şeması: İşlem Basamakları 1. Devreyi

Detaylı

TIMER. SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ

TIMER. SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ TIMER SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ PIC16F877A mikrodenetleyicisinde üç adet zamanlayıcı/sayıcı birimi bulunmaktadır. o Timer0 8 bitlik

Detaylı

8086 nın Bacak Bağlantısı ve İşlevleri. 8086, 16-bit veri yoluna (data bus) 8088 ise 8- bit veri yoluna sahip16-bit mikroişlemcilerdir.

8086 nın Bacak Bağlantısı ve İşlevleri. 8086, 16-bit veri yoluna (data bus) 8088 ise 8- bit veri yoluna sahip16-bit mikroişlemcilerdir. Bölüm 9: 8086 nın Bacak Bağlantısı ve İşlevleri 8086 & 8088 her iki işlemci 40-pin dual in-line (DIP) paketinde üretilmişlerdir. 8086, 16-bit veri yoluna (data bus) 8088 ise 8- bit veri yoluna sahip16-bit

Detaylı

BÖLÜM 6 Seri Port Đşlemleri

BÖLÜM 6 Seri Port Đşlemleri C ile 8051 Mikrodenetleyici Uygulamaları BÖLÜM 6 Seri Port Đşlemleri Amaçlar Seri haberleşmenin önemini kavramak 8051 seri port kontrol saklayıcılarını öğrenmek Seri port çalışma modları hakkında bilgi

Detaylı

DENEY 9-A : PIC 16F877 ve LM-35 ile SICAKLIK ÖLÇÜM UYGULAMASI

DENEY 9-A : PIC 16F877 ve LM-35 ile SICAKLIK ÖLÇÜM UYGULAMASI AMAÇ: DENEY 9-A : PIC 16F877 ve LM-35 ile SICAKLIK ÖLÇÜM UYGULAMASI 1- Mikrodenetleyici kullanarak sıcaklık ölçümünü öğrenmek EasyPIC7 setinde LM-35 kullanılarak analog giriş yaptırılması Sıcaklığın LCD

Detaylı

LCD (Liquid Crystal Display )

LCD (Liquid Crystal Display ) LCD (Liquid Crystal Display ) Hafif olmaları,az yer kaplamaları gibi avantajları yüzünden günlük hayatta birçok cihazda tercih edilen Standart LCD paneller +5 V ile çalışır ve genellikle 14 konnektor lü

Detaylı

Arduino nedir? Arduino donanım ve yazılımın kolayca kullanılmasına dayalı bir açık kaynak elektronik platformdur.

Arduino nedir? Arduino donanım ve yazılımın kolayca kullanılmasına dayalı bir açık kaynak elektronik platformdur. Arduino nedir? Arduino donanım ve yazılımın kolayca kullanılmasına dayalı bir açık kaynak elektronik platformdur. Açık kaynak nedir? Açık kaynak, bir bilgisayar yazılımının makina diline dönüştürülüp kullanımından

Detaylı

İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUVARI KESMELİ GİRİŞ/ÇIKIŞ

İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUVARI KESMELİ GİRİŞ/ÇIKIŞ İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUVARI KESMELİ GİRİŞ/ÇIKIŞ 8259 PIC (Programmable Interrupt Controller) ve 8086 CPU tümleşik devrelerin sinyal akışı

Detaylı

Uzaktan Eğitim Uygulama ve Araştırma Merkezi

Uzaktan Eğitim Uygulama ve Araştırma Merkezi JAVA PROGRAMLAMA Öğr. Gör. Utku SOBUTAY İÇERİK 2 Java da Fonksiyon Tanımlamak Java da Döngüler Java da Şart İfadeleri Uygulamalar Java da Fonksiyon Tanımlamak JAVA DA FONKSİYON TANIMLAMAK 4 Fonksiyonlar;

Detaylı

Mikrobilgisayar Donanımı

Mikrobilgisayar Donanımı KARADENĠZ TEKNĠK ÜNĠVERSĠTESĠ BĠLGĠSAYAR MÜHENDĠSLĠĞĠ BÖLÜMÜ MĠKROĠġLEMCĠ LABORATUARI Mikrobilgisayar Donanımı 1. GiriĢ Bu deneyde 16 bit işlemci mimarisine dayalı 80286 mikroişlemcisini kullanan DIGIAC

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Giriş Mikro işlemler Fetch cycle Indirect cycle Interrupt cycle Execute cycle Instruction

Detaylı

Mikrokontrolcu Portları {\} /\ Suhap SAHIN

Mikrokontrolcu Portları {\} /\ Suhap SAHIN Mikrokontrolcu Portları 0 {\} /\ Suhap SAHIN Gelistirme Ortamının Kurulumu Sanal Makine Programı(VirtualBo) Sanal Makine Dosyasının Kurulumu(ubuntu-kouembedded-v20160217.ova) Virtualbo kurulumu https://www.virtualbo.org/

Detaylı

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş 29.11.2016 İÇERİK Arduino Nedir? Arduino IDE Yazılımı Arduino Donanım Yapısı Elektronik Bilgisi

Detaylı

void setup() fonksiyonu: Bu fonksiyon program ilk açıldığında bir kere çalışır ve gerekli kalibrasyon, setup komutlarını buraya yazarız.

void setup() fonksiyonu: Bu fonksiyon program ilk açıldığında bir kere çalışır ve gerekli kalibrasyon, setup komutlarını buraya yazarız. ARDUİNO PROGRAMLAMA fonksiyonu: Bu fonksiyon program ilk açıldığında bir kere çalışır ve gerekli kalibrasyon, setup komutlarını buraya yazarız. fonksiyonu: Diğer programlama dillerinden alışık olduğumuz

Detaylı

KONTROL VE OTOMASYON KULÜBÜ

KONTROL VE OTOMASYON KULÜBÜ KONTROL VE OTOMASYON KULÜBÜ C DİLİ İLE MİKROKONTROLÖR PROGRAMLAMA EĞİTİMİ Serhat Büyükçolak Ahmet Sakallı 2009-2010 Güz Dönemi Eğitimleri Mikrokontrolör Gömülü sistemlerin bir alt dalı olan mikrokontrolör

Detaylı

EEProm 24C08 UYGULAMA AMAÇ 24C08 MCU_VCC. e r : d e G. Sayfa - 1

EEProm 24C08 UYGULAMA AMAÇ 24C08 MCU_VCC. e r : d e G. Sayfa - 1 V0 DT2 PIC16F877 1KΩ 1KΩ Prom UYGULAMA AMAÇ prom kalıcı hafıza entegresine, PIC16F77 mikrodenetleyicisinin PD0 ve PD1 portları üzerinden bilgi kayıt edip, kayıt edilen bilgiyi Prom dan okuyarak LCD ekranda

Detaylı

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş C ile 8051 Mikrodenetleyici Uygulamaları BÖLÜM 2 8051 Mikrodenetleyicisine Giriş Amaçlar 8051 mikrodenetleyicisinin tarihi gelişimini açıklamak 8051 mikrodenetleyicisinin mimari yapısını kavramak 8051

Detaylı

Configuration bitleri ve reset durumları hakkında kavramlar

Configuration bitleri ve reset durumları hakkında kavramlar PİC HAKKINDA KISA KISA BİLGİLER-1 Pic mikrodenetleyicilerinin 8 bit, 16 bit ve 32 bit işlemci çeşitleri vardır. Çoğu uygulamalarımız için 8 bit yeterli olmaktadır. Bu kursta kullanacağımız pic işlemcisi,

Detaylı

TUŞ TAKIMI (KEYPAD) UYGULAMALARI

TUŞ TAKIMI (KEYPAD) UYGULAMALARI 12. Bölüm TUŞ TAKIMI (KEYPAD) UYGULAMALARI Tuş Takımı (Keypad) Hakkında Bilgi Tuş Takımı Uygulaması-1 74C922 Tuş Takımı Enkoder Entegresi Tuş Takımı Uygulaması-2 (74C922 İle) Bu bölümde tuş takımı diğer

Detaylı

LCD (Liquid Crystal Display)

LCD (Liquid Crystal Display) LCD (Liquid Crystal Display) LCD ekranlar bize birçok harfi, sayıları, sembolleri hatta Güney Asya ülkelerin kullandıkları Kana alfabesindeki karakterleri de görüntüleme imkanını verirler. LCD lerde hane

Detaylı

İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUVARI OLAYLARI ZAMANLAMA

İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUVARI OLAYLARI ZAMANLAMA İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUVARI OLAYLARI ZAMANLAMA İnsanların işlerini bir takvime ve zamana bağlı olarak yürütmesine benzer şekilde, bilgisayarlar

Detaylı

MIKRODENETLEYICILER. Ege Üniversitesi Ege MYO Mekatronik Programı

MIKRODENETLEYICILER. Ege Üniversitesi Ege MYO Mekatronik Programı MIKRODENETLEYICILER Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 3 Assembler Programlama ve Program Geliştirme Program Geliştirme Problem Tanımlama Bağlantı Şekli Algoritma Akış Diyagramı Kaynak

Detaylı

BLGM423 Gömülü Sistem Tasarımı

BLGM423 Gömülü Sistem Tasarımı 1 BLGM423 Gömülü Sistem Tasarımı İkinci Çalışma Sayısal giriş ucunun çeşitli konum ve hareketlerini algılama Bu çalışmada tipik bir anahtara bağlanmış olarak kullanılan sayısal giriş ucundaki konum ve

Detaylı

NB Ekran Seri Port Üzerinden Veri Okuma/Yazma. Genel Bilgi Protokol Oluşturma Veri Okuma Veri Yazma

NB Ekran Seri Port Üzerinden Veri Okuma/Yazma. Genel Bilgi Protokol Oluşturma Veri Okuma Veri Yazma NB Ekran Seri Port Üzerinden Veri Okuma/Yazma Genel Bilgi Protokol Oluşturma Veri Okuma Veri Yazma Genel Bilgi NB Ekranlar üzerinde 2 adet seri port bulunmaktadır. Bu portları kullanarak noprotocol modunda

Detaylı

LPC2104 Mikro Denetleyicisini KEIL İle Programlamak

LPC2104 Mikro Denetleyicisini KEIL İle Programlamak LPC2104 Mikro Denetleyicisini KEIL İle Programlamak Program yazabilmek için öncelikle komutları tanımamız ve ne işe yaradıklarını bilmemiz gerekir. Komutlar yeri geldikçe çalışma içerisinde anlatılacaktır.

Detaylı

Deney 4. Gerçek Zamanlı Kesme Uygulamaları

Deney 4. Gerçek Zamanlı Kesme Uygulamaları Deney 4 Gerçek Zamanlı Kesme Uygulamaları Deneyin Amacı Gerçek zamanlı kesmenin amacının anlaşılması Gerçek zamanlı kesmenin ayarlarının ve ne şekilde kullanılacağının anlaşılması Gerçek zamanlı kesme

Detaylı

PIC ASSEMBLY VE MAKROLAR

PIC ASSEMBLY VE MAKROLAR PIC ASSEMBLY VE MAKROLAR Abdullah UNUTMAZ Ağustos 2009 Pic Assembly ve Makrolar H U N R O B O T X M A K A L E L E R #DEFI E #DEFINE anahtar sözcüğü ile kendi tanımladığımız değişkenler, yada include dosyalarında

Detaylı

İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUVARI OLAYLARI ZAMANLAMA

İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUVARI OLAYLARI ZAMANLAMA İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUVARI OLAYLARI ZAMANLAMA İnsanların işlerini bir takvime ve zamana bağlı olarak yürütmesine benzer şekilde, bilgisayarlar

Detaylı

DENEY-2. SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ

DENEY-2. SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ DENEY-2 SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ 31 DENEY 2-1: YEDİ SEGMENT GÖSTERGE ÜZERİNDE VERİ GÖRÜNTÜLEME AMAÇ: Mikrodenetleyicinin portuna

Detaylı

KOD PARÇACIKLARI 1 / 5

KOD PARÇACIKLARI 1 / 5 KOD PARÇACIKLARI 1 / 5 Kod Parçacıkları (CodeSnipet) Kod parçacıkları paneli animasyon yazılımının beşinci versiyonunda gelmiş bir yeniliktir. Kod yazmak yerine panelden ilgili kodu seçip çift tıklamak

Detaylı

MIKRODENETLEYICILER. Ege Üniversitesi Ege MYO Mekatronik Programı

MIKRODENETLEYICILER. Ege Üniversitesi Ege MYO Mekatronik Programı MIKRODENETLEYICILER Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 5 Zamanlayıcılar Zamanlayıcı/Sayıcı 3 Zamanlayıcı/Sayıcı Zamanlayıcı: Zaman geciktirici olarak kullanıldığında verilen isim. Sayıcı:

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Seri Haberleşme (RS232) Uygulaması

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Seri Haberleşme (RS232) Uygulaması FPGA ile Seri Haberleşme (RS232) Uygulaması 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda, geliştirme kartımız üzerinde bulunan giriş / çıkış pinlerini FT232RL

Detaylı

DENEY II RAPORU MİKROİŞLEMCİ UYGULAMALARI LABORATUVARI

DENEY II RAPORU MİKROİŞLEMCİ UYGULAMALARI LABORATUVARI MİKROİŞLEMCİ UYGULAMALARI LABORATUVARI DENEY II RAPORU Hazırlayan : Beycan Kahraman No (Beycan) : 040020337 Grup Arkadaşı : Hani İsmail No ( Hani ) : 040020925 Grup No : 3 Deney Adı : G/Ç (PIA) Uygulamaları

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #5 16 Bitlik R Tipi İçin ALTERA MAX-PLUS-II VHDL de Tek Saat Veri Yolu Birimi 1.Giriş Bu deneyde

Detaylı

Cnc Designer. ZSynthesizer. ZSynthesizer Doküman No: 0001

Cnc Designer. ZSynthesizer. ZSynthesizer Doküman No: 0001 Cnc Designer ZSynthesizer ZSynthesizer Doküman No: 0001 Cnc Designer 12/1/2015 Contents ZSynthesizer Çipi Hakkında... 1 Çip Bağlantıları... 2 SPI Parametreleri... 4 Flag Değeri... 5 Frekans hesaplaması...

Detaylı

PİC HAKKINDA KISA KISA BİLGİLER GİRİŞ/ÇIKIŞ PORTLARI

PİC HAKKINDA KISA KISA BİLGİLER GİRİŞ/ÇIKIŞ PORTLARI PİC HAKKINDA KISA KISA BİLGİLER GİRİŞ/ÇIKIŞ PORTLARI Bazı pinler çevre birimleri ile çoklanmıştır. Peki bu ne demek? Mesela C portundaki RC6 ve RC7 pinleri seri iletişim için kullanılır. Eğer seri iletişimi

Detaylı

Alıcı Devresi; Sinyali şu şekilde modüle ediyoruz;

Alıcı Devresi; Sinyali şu şekilde modüle ediyoruz; Bu e kitapta infrared iletişim protokolleri ile ilgili basit bir uygulamayı anlatmaya çalışacağım. Bunu yine bir mikrodenetleyici ile yapmamız gerekecek. Siz isterseniz 16f628a yı ya da ccp modülü olan

Detaylı

2 Kablolu Villa Zil Paneli

2 Kablolu Villa Zil Paneli 2 Kablolu Villa Zil Paneli 2K-91-2K-92 Kullanım Kılavuzu 2K-91 2K-92 2K-91-2K-92 2 Kablolu Villa Zil Paneli İÇİNDEKİLER 1.Bölümler ve Fonksiyonları... 1 2.Terminal Açıklamaları... 1 3.Özellikler... 2 4.Montaj...

Detaylı

BMT 101 Algoritma ve Programlama I 11. Hafta. Yük. Müh. Köksal Gündoğdu 1

BMT 101 Algoritma ve Programlama I 11. Hafta. Yük. Müh. Köksal Gündoğdu 1 BMT 101 Algoritma ve Programlama I 11. Hafta Yük. Müh. Köksal Gündoğdu 1 C++ Fonksiyonlar Yük. Müh. Köksal Gündoğdu 2 C++ Hazır Fonksiyonlar Yük. Müh. Köksal Gündoğdu 3 C++ Hazır Fonksiyonlar 1. Matematiksel

Detaylı

PROGRAMLAMAYA GİRİŞ FONKSİYONLAR

PROGRAMLAMAYA GİRİŞ FONKSİYONLAR PROGRAMLAMAYA GİRİŞ FONKSİYONLAR Fonksiyonlar C programlama dili fonksiyon olarak adlandırılan alt programların birleştirilmesi kavramına dayanır. Bir C programı bir ya da daha çok fonksiyonun bir araya

Detaylı

İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUARI MİKROİŞLEMCİLİ A/D DÖNÜŞTÜRÜCÜ

İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUARI MİKROİŞLEMCİLİ A/D DÖNÜŞTÜRÜCÜ İSTANBUL TİCARET ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİLİ SİSTEM LABORATUARI MİKROİŞLEMCİLİ A/D DÖNÜŞTÜRÜCÜ 1. Giriş Analog işaretler analog donanım kullanılarak işlenebilir. Ama analog

Detaylı

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN Bilgisayar Mühendisliğine Giriş Yrd.Doç.Dr.Hacer KARACAN Mikroişlemci Nedir? Bir bilgisayarın en önemli parçası Mikroişlemcisidir. Hiçbir bilgisayar mikroişlemci olmadan çalışamaz. Bu nedenle Mikroişlemci

Detaylı

PIC PROGRAMLAMA STEP MOTOR SÜRÜCÜ VE KONTROL AMAÇ NEDİR? Unipolar Step Motorlar. Uç TESPİTİ NASIL YAPILIR?

PIC PROGRAMLAMA STEP MOTOR SÜRÜCÜ VE KONTROL AMAÇ NEDİR? Unipolar Step Motorlar. Uç TESPİTİ NASIL YAPILIR? PIC PROGRAMLAMA hbozkurt@mekatroniklab.com www.mekatroniklab.com.tr STEP MOTOR SÜRÜCÜ VE KONTROL AMAÇ Bu ayki sayımızda, özellikle CNC ve robotik uygulamalarda oldukça yaygın olarak kullanılan step motorlar

Detaylı

DENEY-5. SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ

DENEY-5. SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ DENEY-5 SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ 31 MİKRODENETLEYİCİDE KESME BİRİMİ Mikrodenetleyicinin değişik kaynaklardan gelen uyarıcı sinyaller

Detaylı

Mikroişlemciler. Microchip PIC

Mikroişlemciler. Microchip PIC Mikroişlemciler Microchip PIC Öğr. Gör. M. Ozan AKI r1.1 Microchip PIC Mikrodenetleyiciler www.microchip.com Microchip PIC Mikrodenetleyiciler Microchip PIC Mikrodenetleyiciler Microchip PIC Mikrodenetleyiciler

Detaylı

DENEY III RAPORU MİKROİŞLEMCİ UYGULAMALARI LABORATUVARI

DENEY III RAPORU MİKROİŞLEMCİ UYGULAMALARI LABORATUVARI MİKROİŞLEMCİ UYGULAMALARI LABORATUVARI DENEY III RAPORU Hazırlayan : Beycan Kahraman No (Beycan) : 040020337 Grup Arkadaşı : Hani İsmail No ( Hani ) : 040020925 Grup No : 3 Deney Adı : G/Ç (PIA) Uygulamaları

Detaylı

MİKROİŞLEMCİLER LABORATUVARI İÇİN PROGRAM DERLEME VE YÜKLEME DOKÜMANI

MİKROİŞLEMCİLER LABORATUVARI İÇİN PROGRAM DERLEME VE YÜKLEME DOKÜMANI MİKROİŞLEMCİLER LABORATUVARI İÇİN PROGRAM DERLEME VE YÜKLEME DOKÜMANI İÇİNDEKİLER GİRİŞ:... 2 RS232 den USB ye Dönüştürücü Kurulumu... 2 KEIL Programı ile Program Yazma ve Derleme... 5 Atmel Flip Programı

Detaylı

Arduino Uno ile Hc-Sr04 ve Lcd Ekran Kullanarak Mesafe Ölçmek

Arduino Uno ile Hc-Sr04 ve Lcd Ekran Kullanarak Mesafe Ölçmek Arduino Uno ile Hc-Sr04 ve Lcd Ekran Kullanarak Mesafe Ölçmek 1 Adet Arduino Uno 1 Adet Hc-Sr04 Ultrasonik mesafe sensörü 1 Adet 16 2 Lcd Ekran 1 Adet Breadbord 1 Adet Potansiyometre 2 Ader led Yeteri

Detaylı

«BM364» Veritabanı Uygulamaları

«BM364» Veritabanı Uygulamaları HAFTA 6 T-SQL ile Programlar Yazmak" Yaşar GÖZÜDELİ ygozudeli@verivizyon.com http://blog.verivizyon.com/ygozudeli «BM364» Veritabanı Uygulamaları Konu Akışı Programlama dili olarak T-SQL Fonksiyonlar Programlamak

Detaylı

R-2R LADDER SWITCHES 8-BIT DAC SUCCESSIVE APPROXIMATION REGISTER 3-STATE BUFFERS

R-2R LADDER SWITCHES 8-BIT DAC SUCCESSIVE APPROXIMATION REGISTER 3-STATE BUFFERS MİKROİŞLEMCİ UYUMLU A/D VE D/A ÇEVİRİCİLER A/D ve D/A çeviricilerin pratikte sıkça kullanılan türlerinden biri de mikroişlemci uyumlu olanlarıdır. Şekil.'de ZN8 D/A çeviricinin çalışma prensip şeması verilmiştir.

Detaylı

Adım Motoru: açıya adım. Şekil 8.2 tekyönlü. Lab 8. Siyah (A) Mavi ( B ) Kırmızı (B)

Adım Motoru: açıya adım. Şekil 8.2 tekyönlü. Lab 8. Siyah (A) Mavi ( B ) Kırmızı (B) 446 GÖMÜLÜ SİSTEM TASARIMI Adım Motoru 8.1 Amaç Bu laboratuvarda LauchPad a dışarıdan bağlanacak adım motorunun dönme yönünü ve hızını kontrol eden programın yazılımı verilecektir. 8.2 Gerekli Malzeme

Detaylı

DERS 13 PIC 16F84 ile DONANIM SAYICI KULLANIMI İÇERİK KESME

DERS 13 PIC 16F84 ile DONANIM SAYICI KULLANIMI İÇERİK KESME DERS 13 PIC 16F84 ile DONANIM SAYICI KULLANIMI İÇERİK KESME Ders 13, Slayt 2 1 TMR0 SAYICISI Ram belleğin h 01 adresi TMR0 adlı özel amaçlı bir saklayıcı olarak düzenlenmiştir. Bu saklayıcı bir sayıcıdır.

Detaylı

1. LabVIEW ile Programlama

1. LabVIEW ile Programlama 1. LabVIEW ile Programlama LabVIEW ile programlama mantığı, program kodu yazılan programlama mantığına benzemekle birlikte, kontrol adı verilen nesneler arasında veri yolu bağlantısı ile program akışı

Detaylı

Programlamada Kullanılan Temel Parametreler

Programlamada Kullanılan Temel Parametreler TECO PLC: Programlamada Kullanılan Temel Parametreler X; PLC nin fiziksel giriş adresleri Y; PLC nin fiziksel giriş adresleri D; PLC nin veri adresleri T; PLC nin zaman rölesi ardesleri C; PLC nin sayıcı

Detaylı

Giris {\} /\ Suhap SAHIN

Giris {\} /\ Suhap SAHIN Giris 0 {\} /\ Suhap SAHIN Kaynaklar http://users.ece.utexas.edu/~valvano/volume1/e-book/ Kaynaklar https://www.edx.org/course/embedded-systems-shape-world-utaustinx-ut-6-02x Konular 1. 2. 3. 4. 5. 6.

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 2 Kayar Yazaçlar 23.02.2015 Sayısal Tasarım 3 Kayar Yazacın Çalışma Şekilleri Kayar yazaç flip-flopların veri saklamak ve veri taşımak

Detaylı

BÖLÜM 6 RS232 SERİ İLETİŞİM İŞLEMLERİ

BÖLÜM 6 RS232 SERİ İLETİŞİM İŞLEMLERİ BÖLÜM 6 RS232 SERİ İLETİŞİM İŞLEMLERİ 6.1) Hi-Tech te RS232 Seri İletişim İşlemleri Mikrodenetleyiciler ile diğer mikrodenetleyiciler, cihazlar, bilgisayarlar arasında iletişim kurmak istenildiğinde kullanılan

Detaylı

PIC Mikrodenetleyicileri

PIC Mikrodenetleyicileri PIC Mikrodenetleyicileri Intel 1976 da 8031/51 ailesini piyasaya sürdüğünde dünyanın en popüler mikroişlemcisi olmuştu. Bu işlemci dünya üzerinde 12 den fazla firma tarafından (İntel, Phillips, Dallas,

Detaylı

KOMUT TABLOSU İLE İLGİLİ AÇIKLAMALAR:

KOMUT TABLOSU İLE İLGİLİ AÇIKLAMALAR: KOMUT TABLOSU İLE İLGİLİ AÇIKLAMALAR: 1) Etkilenen Bayraklar (E.B.) : Bazı komutlar koşturulurken PSW saklayacısındaki bayrakların değeri değişebilir. Herbir komut için etkilenen bayraklar belirtilmiştir.

Detaylı

Zaman Değişkeni Tanımlama ve Yürürlükteki Zaman Değerini İfade Etme

Zaman Değişkeni Tanımlama ve Yürürlükteki Zaman Değerini İfade Etme Tarih-saat İşlemleri Bu sayfada zaman yöntem kodları üzerinde duracağız. Bu kodlar zaman birimlerini ifade etmekte, nesneleri zamana göre sıralamakta ve sorgulamakta kullanılır Zaman Değişkeni Tanımlama

Detaylı

Şekil. 64 Kelimelik Yığıtın Blok Şeması

Şekil. 64 Kelimelik Yığıtın Blok Şeması 1 YIĞIT (STACK) KURULUMU Çoğu bilgisayarın MİB de yığıt veya LIFO (Last In First Out) bulunur. Yığıt bir bellek parçasıdır ve son depolanan bilgi ilk geri dönen bilgi olur. Yığıta aktarılan son bilgi yığıtın

Detaylı

Mikroçita. Mikroçita Rapor 2:

Mikroçita. Mikroçita Rapor 2: Mikroçita Rapor 2: İşlemci projemizle ilgili olarak hazırlamış olduğumuz bu ikinci raporda öncelikli olarak vhdl kullanarak tasarladığımız işlemcimizin genel çalışmasını ilk rapora göre daha ayrıntılı

Detaylı

GW420. Kurutma Makinesi Bilgisayar Kullanım Klavuzu. Lütfen kurulumdan önce dikkatle okuyunuz.

GW420. Kurutma Makinesi Bilgisayar Kullanım Klavuzu. Lütfen kurulumdan önce dikkatle okuyunuz. GW420 Kurutma Makinesi Bilgisayar Kullanım Klavuzu Lütfen kurulumdan önce dikkatle okuyunuz. KATALOG Bölüm 1 Klavye Arayüzü... 1 Bölüm 2 Çalışma Talimatı... 2 1. Sistem Başlatma... 2 2. Parametre Ayarları...

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6 DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6 16 Bitlik R Tipi İçin ALTERA MAX-PLUS-II VHDL de Tam Tek Saat Veri Yolu Birimi Amaç: Tek-Saat

Detaylı

GMTCNT PLC ile MODBUS MASTER Haberleşmesi -MICNO Serisi Hız Kontrol ile Bağlantı-

GMTCNT PLC ile MODBUS MASTER Haberleşmesi -MICNO Serisi Hız Kontrol ile Bağlantı- GMTCNT PLC ile MODBUS MASTER Haberleşmesi -MICNO Serisi Hız Kontrol ile Bağlantı- RS485 Bağlantı: 1- RS485 bağlantı için PLC nin RS485 bağlantısı için olan COM2 girişini kullanınız. (Şekildeki gibi takınız)

Detaylı

MİKRODENETLEYİCİLER ÖRNEK PROGRAMLAR

MİKRODENETLEYİCİLER ÖRNEK PROGRAMLAR MİKRODENETLEYİCİLER ÖRNEK PROGRAMLAR Bülent ÖZBEK Örnek Program -1- B Portuna bağlı LED leri Yakma Bu programda PIC16F84 mikrodenetleyicisinin B portuna bağlı 8 adet LED in yanması sağlanacaktır. Bunu

Detaylı

ARDIŞIL DİYAGRAM YAPI DİYAGRAMI. Sistem Analizi ve Tasarımı Dersi

ARDIŞIL DİYAGRAM YAPI DİYAGRAMI. Sistem Analizi ve Tasarımı Dersi ARDIŞIL DİYAGRAM YAPI DİYAGRAMI Sistem Analizi ve Tasarımı Dersi İçindekiler Ardışıl Diyagram Nedir ve Neden Kullanılır... 3 Ardışıl Diyagram Elemanları... 3 MS Visio ile Ardışıl Diyagram Çizimi... 5 Violet

Detaylı

Bölüm 7 Gelişmiş Fonksiyon Komutları

Bölüm 7 Gelişmiş Fonksiyon Komutları Bölüm 7 Gelişmiş Fonksiyon Komutları Akış Kontrol Komutları (Ι) (FUN22) 7-1 Aritmetik İşlem Komutları (FUN23~32) 7-2 ~ 7-9 Lojik İşlem Komutları (FUN35~36) 7-10 ~ 7-13 Karşılaştırma Komutları ( FUN37)

Detaylı

DELTA PLC DE ZAMANLAYICILAR

DELTA PLC DE ZAMANLAYICILAR DELTA PLC DE ZAMANLAYICILAR TMR Komutu TMR komutunun önündeki şart sinyal akışını sağladığında timer bobini saymaya başlar. Zaman dolduğunda, yani içerik >= ayar değeri (set değeri) olduğunda, adreslenen

Detaylı

Bölüm 13: Giriş-Çıkış (I/O) Sistemleri

Bölüm 13: Giriş-Çıkış (I/O) Sistemleri Bölüm 13: Giriş-Çıkış (I/O) Sistemleri Mehmet Demirci tarafından çevrilmiştir. Silberschatz, Galvin and Gagne 2013 Bölüm 13: Giriş-Çıkış (I/O) Sistemleri Genel bakış I/O donanımı Uygulama I/O arayüzü Çekirdek

Detaylı

Analog Sayısal Dönüşüm

Analog Sayısal Dönüşüm Analog Sayısal Dönüşüm Gerilim sinyali formundaki analog bir veriyi, iki tabanındaki sayısal bir veriye dönüştürmek için, az önce anlatılan merdiven devresiyle, bir sayıcı (counter) ve bir karşılaştırıcı

Detaylı

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme PROGRAMIN ADI DERSIN KODU VE ADI DERSIN ISLENECEGI DÖNEM HAFTALIK DERS SAATİ DERSİN SÜRESİ ENDÜSTRİYEL ELEKTRONİK MİK.İŞLEMCİLER/MİK.DENETLEYİCİLER-1 2. Yıl, III. Yarıyıl (Güz) 4 (Teori: 3, Uygulama: 1,

Detaylı

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN Bahar Dönemi Öğr.Gör. Vedat MARTTİN 8086/8088 MİKROİŞLEMCİSİ İÇ MİMARİSİ Şekilde x86 ailesinin 16-bit çekirdek mimarisinin basitleştirilmiş bir gösterimi verilmiştir. Mikroişlemci temel iki ayrı çalışma

Detaylı

Bu uygulama saatinde, dinamik sistemlerin simülasyonu (benzetimi) için geliştirilmiş olan, oldukça kullanışlı bir arayüz, Simulink, tanıtılacaktır.

Bu uygulama saatinde, dinamik sistemlerin simülasyonu (benzetimi) için geliştirilmiş olan, oldukça kullanışlı bir arayüz, Simulink, tanıtılacaktır. Bu uygulama saatinde, dinamik sistemlerin simülasyonu (benzetimi) için geliştirilmiş olan, oldukça kullanışlı bir arayüz, Simulink, tanıtılacaktır. Simulink bir Grafik Kullanıcı Arayüzü (Graphical User

Detaylı

Kullanıcı Klavuzu

Kullanıcı Klavuzu www.fonosis.com Kullanıcı Klavuzu Kullanıcı Bakımı Anahtar Kelimeler Kullanıcı oluşturma Kullanıcı görüntüleme, listeleme, Kullanıcı yetkilendirme, ilişkilendirme Uygulama içerisinde dilediğiniz kadar

Detaylı

PIC TABANLI, 4 BASAMAKLI VE SER

PIC TABANLI, 4 BASAMAKLI VE SER PIC TABANLI, 4 BASAMAKLI VE SERİ BAĞLANTILI 7 SEGMENT LED PROJESİ Prof. Dr. Doğan İbrahim Yakın Doğu Üniversitesi, Mühendislik Fakültesi, Bilgisayar Mühendisliği Bölümü, Lefkoşa E-mail: dogan@neu.edu.tr,

Detaylı

Algoritmalar, Akış Şemaları ve O() Karmaşıklık Notasyonu

Algoritmalar, Akış Şemaları ve O() Karmaşıklık Notasyonu Algoritmalar, Akış Şemaları ve O() Karmaşıklık Notasyonu Öğr. Gör. M. Ozan AKI r1.0 Algoritmalar (Algorithms) Algoritma, bir problemin çözümünü sağlayan ancak deneme-yanılma ve sezgisel çözüme karşıt bir

Detaylı

PLS2 KOMUTU. Giriş PLS2 Komutunun Açıklanması Sonuç

PLS2 KOMUTU. Giriş PLS2 Komutunun Açıklanması Sonuç Giriş PLS2 Komutunun Açıklanması Sonuç GİRİŞ Bu dökümanda PLS2 komutunun nasıl kullanılacağı anlatılacaktır.pls2, çoğunlukla pulse ile servo sürme uygulamalarında kullanılır. Kısaca bahsedilecek olunursa

Detaylı

INVT IVC1. -Kompakt Tip PLC. Marketing 2014 HM

INVT IVC1. -Kompakt Tip PLC. Marketing 2014 HM INVT IVC1 -Kompakt Tip PLC Marketing 2014 HM Özelikler IVC1 Özelikleri Genişleme 128 IO 7 modül genişleme Haberleşme Arayüzü 2 seri port: 1xRS232, 1xRS232/485 Temel komut işlem Hızı 0.3μs Pulse Girişi

Detaylı

Proje Teslimi: 2013-2014 güz yarıyılı ikinci ders haftasında teslim edilecektir.

Proje Teslimi: 2013-2014 güz yarıyılı ikinci ders haftasında teslim edilecektir. ELEKTRONĐK YAZ PROJESĐ-2 (v1.1) Yıldız Teknik Üniversitesi Elektronik ve Haberleşme Mühendisliği Bölümünde okuyan 1. ve 2. sınıf öğrencilerine; mesleği sevdirerek öğretmek amacıyla, isteğe bağlı olarak

Detaylı

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ İçerik Mikroişlemci Sistem Mimarisi Mikroişlemcinin yürüttüğü işlemler Mikroişlemci Yol (Bus) Yapısı Mikroişlemci İç Veri İşlemleri Çevresel Cihazlarca Yürütülen İşlemler

Detaylı

DENEY-1. SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ

DENEY-1. SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ DENEY-1 SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ 31 DENEY-1-1: BİT YÖNLENDİRMELİ KOMUTLAR İLE PİNLERE DEĞER GÖNDERME AMAÇ: Mikrodenetleyici pinlerine

Detaylı

FONKSİYONLAR. Gerçek hayattaki problemlerin çözümü için geliştirilen programlar çok büyük boyutlardadır.

FONKSİYONLAR. Gerçek hayattaki problemlerin çözümü için geliştirilen programlar çok büyük boyutlardadır. C PROGRAMLAMA FONKSİYONLAR Gerçek hayattaki problemlerin çözümü için geliştirilen programlar çok büyük boyutlardadır. Daha büyük programlar yazmanın en kolay yolu onları küçük parçalar halinde yazıp sonra

Detaylı

VTIY DERS-4 YARDIMCI NOTLARI -2018

VTIY DERS-4 YARDIMCI NOTLARI -2018 VTIY DERS-4 YARDIMCI NOTLARI -2018 Matlab Arduino haberleşmesi için 2. yöntem seri haberleşmedir. İlk yöntemde Arduino üzerinden kod yazmaya gerek yoktu ancak bu yöntemde Arduino IDE üzerinden kod yazmamız

Detaylı

x86 Ailesi Mikroişlemciler ve Mikrobilgisayarlar

x86 Ailesi Mikroişlemciler ve Mikrobilgisayarlar x86 Ailesi 1 8085A,8088 ve 8086 2 Temel Mikroişlemci Özellikleri Mikroişlemcinin bir defade işleyebileceği kelime uzunluğu Mikroişlemcinin tek bir komutu işleme hızı Mikroişlemcinin doğrudan adresleyebileceği

Detaylı

Adres Yolu (Address Bus) Bellek Birimi. Veri Yolu (Databus) Kontrol Yolu (Control bus) Şekil xxx. Mikrodenetleyici genel blok şeması

Adres Yolu (Address Bus) Bellek Birimi. Veri Yolu (Databus) Kontrol Yolu (Control bus) Şekil xxx. Mikrodenetleyici genel blok şeması MİKRODENETLEYİCİLER MCU Micro Controller Unit Mikrodenetleyici Birimi İşlemci ile birlikte I/O ve bellek birimlerinin tek bir entegre olarak paketlendiği elektronik birime mikrodenetleyici (microcontroller)

Detaylı

Melih Hilmi ULUDAĞ. Yazılım Mühendisi Mekatronik Mühendisi. a aittir.

Melih Hilmi ULUDAĞ. Yazılım Mühendisi Mekatronik Mühendisi.  a aittir. Melih Hilmi ULUDAĞ Yazılım Mühendisi Mekatronik Mühendisi www.melihhilmiuludag.com a aittir. ÖZET Teknolojiyi kısaca bilimsel bilgiden yararlanarak yeni bir ürün geliştirmek, üretmek ve hizmet desteği

Detaylı

İŞLETİM SİSTEMİ KATMANLARI (Çekirdek, kabuk ve diğer temel kavramlar) Bir işletim sisteminin yazılım tasarımında ele alınması gereken iki önemli konu

İŞLETİM SİSTEMİ KATMANLARI (Çekirdek, kabuk ve diğer temel kavramlar) Bir işletim sisteminin yazılım tasarımında ele alınması gereken iki önemli konu İŞLETİM SİSTEMİ KATMANLARI (Çekirdek, kabuk ve diğer temel kavramlar) Bir işletim sisteminin yazılım tasarımında ele alınması gereken iki önemli konu bulunmaktadır; 1. Performans: İşletim sistemi, makine

Detaylı

KASIRGA -4 Buyruk Tasarımı Belgesi. 30.04.2008 Ankara

KASIRGA -4 Buyruk Tasarımı Belgesi. 30.04.2008 Ankara KASIRGA -4 Buyruk Tasarımı Belgesi 30.04.2008 Ankara 1 İŞLEMLER 00000000 SYSCALL 00000001 HLT 00000010 DEBUG 00000011 CONTINUE S-TİPİ 00000100 NOP 00000101 IN 00000110 OUT 00000111 BRET 00001000 ADD 00001001

Detaylı

ETHK-20 MEYVE SEBZE KURUTUCU ISI POMPASI PLC KULLANIM KLAVUZU

ETHK-20 MEYVE SEBZE KURUTUCU ISI POMPASI PLC KULLANIM KLAVUZU ETHK-20 MEYVE SEBZE KURUTUCU ISI POMPASI PLC KULLANIM KLAVUZU 1. Manuel Mod Şekil I Manuel Mod geçmek için Manuel Moda Geç butonuna dokununuz. Karşımıza gelen ekranda ki fonksiyonları değiştirmek için

Detaylı

CIF105 ve E5_C Sıcaklık kontrolcüler için Hızlı Fonksiyon Bloğu

CIF105 ve E5_C Sıcaklık kontrolcüler için Hızlı Fonksiyon Bloğu CIF105 ve E5_C Sıcaklık kontrolcüler için Hızlı Fonksiyon Bloğu Hızlı Kullanım Fonksiyon Bloğu E5_C Haberleşme Ayarları Sysmac Studio Ayarları Fonksiyon Bloğunun Kullanımı Hızlı Kullanım Fonksiyon Bloğu

Detaylı

Quiz:8086 Mikroişlemcisi Mimarisi ve Emirleri

Quiz:8086 Mikroişlemcisi Mimarisi ve Emirleri Öğrenci No Ad-Soyad Puan Quiz:8086 Mikroişlemcisi Mimarisi ve Emirleri S1) 8086 mikroişlemcisi bitlik adres yoluna ve.. bitlik veri yoluna sahip bir işlemcidir. S2) 8086 Mikroişlemci mimarisinde paralel

Detaylı

int faktoriyel(int sayi) { int sonuc = 1; for(int i=sayi;i>0;i--) sonuc*=i; return sonuc; } int main() { int sayi = faktoriyel(5); }

int faktoriyel(int sayi) { int sonuc = 1; for(int i=sayi;i>0;i--) sonuc*=i; return sonuc; } int main() { int sayi = faktoriyel(5); } FONKSİYONLAR Fonksiyon, programcı tarafından seçilen bir kod bloğuna isim vermek için kullanılan araçtır. Fonksiyon ismi program içerisinde çağrıldığında fonksiyonun temsil ettiği kod çalıştırılır. Örneğin

Detaylı