KONTROL SİSTEMLERİ TASARIMI LABORATUARINA GİRİŞ

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "KONTROL SİSTEMLERİ TASARIMI LABORATUARINA GİRİŞ"

Transkript

1 Giriş KONTROL SİSTEMLERİ TASARIMI LABORATUARINA GİRİŞ 0.1 GİRİŞ Deneylerin yapılacağı laboratuar, bilgisayar yardımıyla analog ve dijital denetleyici sistemlerinin analizine, tasarımına, benzetimine ve uygulamasına imkân sağlayan donanımlar ile oluşturulmuştur. Yapılacak deneylerde ulaşılması düşünülen nokta öğrencilerin teorik derslerdeki bilgilerini pratik deneyler ile pekiştirmeleridir. Derslerdeki teorik tasarımların, geliştirmelerin sonucunda elde edilen algoritmaların bilgisayar yardımıyla temelde nasıl gerçeklendiğinin kavranmasına yöneliktir. Öğrenciler deneyler süresince Matlab ve Simulink ile dinamik sistemlerin analizini ve benzetimini, kompanzasyon sistemlerinin denetleyici tasarımı ve benzetimini kavrayacaklar ve bu bilgileri ile test düzenekleri için deneytleyici geliştireceklerdir. 0.2 LABORATUAR DONANIMLARI Laboratuar toplam iki istasyondan oluşmaktadır. Birinci istasyonda Quanser SRV02-ET servo düzeneği, UPM V güç ünitesi, Q4 DAQ bilgisayar kartı, arayüz kartı, P4 bilgisayar içermektedir. İkinci istasyonda IP02 lineer düzeneği seçilmiştir. Şekil 0.1 Laboratuar Donanımları 1

2 Giriş Bunlara ilave olarak Real-Time Workshop ile Matlab ve Simulink yazılımı, Ardence RTX ile WinCon yazılımları istasyonlardaki bilgisayarlarda bulunmaktadır. 0.3 MATLAB REAL TIME WORKSHOP Real Time Workshop, Simulink için optimum ve uyarlanabilir ANSI C kodu üretir. Real Time Workshop tüm simulink özelliklerini desteklemekle birlikte 8-bit, 16-bit, ve 32-bit tamsayı, virgüllü sayı ve uyarlanabilir (fixed-point) data tiplerini içermektedir. 0.4 WinCon/WinLib Bu program sayesinde Matlab ile düzenekleri arasındaki bilgi transferlerini sağlamaktadırlar. 0.5 Q4 DAQ VERİ TRANSFER KARTI Özellikler: 4x 14-bit Analog girişleri 4x 12-bit D/A gerilim çıkışları 4x dörtleyici enkoder girişleri 16 programlanabilir dijital I/O kanalları 2x 32-bit bağımsız sayıcı veya zamanlayıcı 4x 24-bit ayarlanabilir enkoder sayıcı veya zamanlayıcı 2x PWM çıkışı 0.6 GÜÇ MODÜLÜ Her istasyon bir güç kuvvetlendiricisi ile sürülmektedir. Güç Modülü regüleli çift +-12VDC çıkışlı güç kaynağı ve lineer güç operasyonel kuvvetlendiricisinden oluşmaktadır. Güç kaynağının +-12VDC için 1A çıkışı vardır. maksimum güç çıkışı 45W, maksimum akım çıkışı 3A, maksimum gerilim çıkışı 15V dur. 0.7 SRV02-ET SERVO DÜZENEK Sert alüminyum iskelet üzerine tutturulmuş yüksek kalitede DC servomotordan oluşmaktadır. Bu motor, harici dişlileri 14:1 oranında bir dişli kutusu yardımıyla sürmektedir. Motor şaftı 1024 sayımlı bir dörtleyici enkoder ile birleştirilmiştir. Çıkış miline bağlı bekleş önleyici dişlide bir potansiyometre ile birleştirilmiştir. Potansiyometre çıkış açısını ölçmede kullanılabilinir. Harici dişli takımını oranı 1:1 den 5:1 e kadar değiştirilebilinir. 2

3 Giriş 0.8 IP02 LİNEER DÜZENEK Şekil0.2 SRV02 Donanımı Lineer hareket deneylerinin gerçekleştirildiği düzenektir. DC motor tarafından sürülen sert alüminyum arabadan oluşmaktadır. Lineer rulman kullanılarak araba paslanmaz çelik şaft üzerinde hareket etmektedir. Araba dişli ve ray düzeneği ile hareketlendirilmektedir. Arabanın konumu optik dörtleyici bir enkoder ile ölçülmektedir. Arabanın ön ortasına takılacak çubuğun serbestçe hareket etmesini sağlayacak şekilde bir dairesel eklem bulunmaktadır. Çubuğun açısı optik dörtleyici bir enkoder ile ölçülmektedir. Şekil0.3 IP02 Donanımı 3

4 Deney.1 Veri Aktarımına Giriş ve Gerçek Zamanda Kontrol DENEY.1 - VERİ AKTARIMINA GİRİŞ ve GERÇEK ZAMANDA KONTROL 1.1 AMAÇ Deneyin amaçlarını Q4 arayüz kartı ve WinCon yazılımına aşinalık sağlamak Temel giriş çıkış bağlantılarını anlamak Encoder açısını ölçmek için WinCon uygulaması oluşturmak Motoru çalıştırıp takometreden ve potansiyometreden verilerin okunmasını sağlayan WinCon Programını oluşturmak olarak sıralayabiliriz. 1.2 GİRİŞ Bilgisayar teknolojisindeki ilerleme ve bu ilerlemeye paralel olarak maliyeterin azalması dijital denetleyicilerin önünü açmıştır. Analog denetleyicilerin çok karışık donanımlar ile çözebileceği karmaşık problemleri dahi dijital denetleyiciler sayesinde kolaylıkla üstesinden gelinebilinir bir hal almıştır. Çalışma koşullarına göre düzenekte olabilecek değişimleri denetleyici içersine katmak, dijital denetleyicilere analog denetleyiciler önünde esneklik olarak bir artı kazandırmaktadır. Analog/Dijital ve Dijital/Analog Dönüştürücüler: Bilgi aktarım kartları dijital denetleyicilerin gözleri ve kulakları gibidir. Bilgisayarlar sinyalleri dijital formda (sayısal) olarak alırlar ve işlerler. Bilgisayar çıkışı bu sinyallerin gerekli hesaplamalar yapıldıktan sonra analog veriye dönüştürülmesinden sonra gerçekleşir. Dijital analog dönüştürücülerin çözünürlüğü oluşabilecek en küçük değişim ile belirlenmektedir. N-bitlik bir dönüştürücü için Çözünürlük = (100/2 N ) % Örneğin 10-bitlik bir DAC için 100/2 10 =100/1024= % dir. ADC için ise çözünürlük en küçük algılanabilir değişim olarak tanımlanmaktadır. Gerçek Zamanda denetleme için bir başka önemli nokta ise yenileme oranıdır. Denetleyicinin bir çevrimi süresince şu üç şeyin tamamlanması gerekmektedir; (1) Algılayıcılar okunmalı (A/D girişler), (2) Mikroişlemci yenilenen işareti hesaplamalı, (3) Hesaplanan işaret analog işarete dönüştürülmeli (D/A çıkış). Bu süreyi belirleyen faktör sistemin dinamik zaman sabitidir. 4

5 1.3 KABLOLAMA DİYAGRAMI Deney.1 Veri Aktarımına Giriş ve Gerçek Zamanda Kontrol Şekil 1.1 Kablolama diagramı 1.4 ALGILAYICILARIN ÖLÇÜLMESİ a. Enkoder modeli oluşturması ve enkoder açısının ölçülmesi: Simulink altında yeni bir model oluşturulur ve kütüphaneden Quanser Toolbox sekmesi altından enkoder girişi seçilir. Enkoder bloğu çift tıklanarak ilgili kanal numarası girilir ve aşağıdaki şekildeki model oluşturulur. Şekil1.2 Simulink modeli b. Kodun Derlenmesi: Gerçek zamanda çalışmaya başlamadan önce kodun derlenmesi gerekmektedir. Bu işlem aşağıdaki şekilde görüldüğü gibi Build komutuyla yapılabilinir. Şekil1.3 Kod derleme penceresi 5

6 Deney.1 Veri Aktarımına Giriş ve Gerçek Zamanda Kontrol c. Bilgi Çizimi: Simulink modeliniz altındaki tüm Display ve Scope larınıza WinCon Server altından ulaşabilirsiniz. Enkoder her bir turda 1024 sayım yapacaktır, enkoderin dörtleyici olarak okunduğu düşünüldüğünde 360 dereceye karşılık 1024*4 =4096 sayım gelmektedir. Çözünürlüğe baktığımızda 360/4096 = derece elde ederiz. Bilgi penceresinden izlediğimiz verinin derece olmasını istiyorsak, Simulink modelimizdeki kazanç çarpanımızı 1 yerine 360/4096 yapmalıyız. Deneyin bu kısmında enkoderden gelen bilgiyi izleyerek işaret kaçırıp kaçırmadığımızın analizini yapmak için motor saftını belirli oranda sağa ve sola döndürerek bilgi ekranını takip edeceğiz. d. Motora gerilim uygulayıp hız(takometre) ve pozisyon (potansiyometre) bilgilerini ölçme: Düzenekteki motorumuza gerilim verebilmemiz için, bilgisayardaki dijital sinyalimizi analoğa dönüştürerek ilgili kanaldan çıkışını sağlayıp modelimizi oluşturmaya başlayabiliriz. Quanser Analog Output bloğu bu dönüşümü gerçekleyecektir. Daha sonra hız bilgisini ölçmek için takometreden gelen analog veriyi dijitale dönüştüren Analog Input bloğunu kullanırız. Kullanılan takometrenin etiket değerleri 1.5V/1000RPM olduğundan kazanç buna göre ayarlanmalıdır. Aynı şekilde pozisyon bilgisi için bu sefer potansiyometreden gelen analog veri okunmalıdır. Şekil1.3 Hız ve konum ölçümüyle ilgili simulink modeli 6

KST Lab. Shake Table Deney Föyü

KST Lab. Shake Table Deney Föyü KST Lab. Shake Table Deney Föyü 1. Shake Table Deney Düzeneği Quanser Shake Table, yapısal dinamikler, titreşim yalıtımı, geri-beslemeli kontrol gibi çeşitli konularda eğitici bir deney düzeneğidir. Üzerine

Detaylı

Mikroişlemci ile Analog-Sayısal Dönüştürücü (ADC)

Mikroişlemci ile Analog-Sayısal Dönüştürücü (ADC) KARADENİZ TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİ LABORATUARI Mikroişlemci ile Analog-Sayısal Dönüştürücü (ADC) 1. Giriş Analog işaretler analog donanım kullanılarak işlenebilir.

Detaylı

Matlab/Simulink Ortamında Modellenen Bir Sıvı Seviye Sisteminin Gerçek Zamanlı Kontrolünün Sağlanması

Matlab/Simulink Ortamında Modellenen Bir Sıvı Seviye Sisteminin Gerçek Zamanlı Kontrolünün Sağlanması Matlab/Simulink Ortamında Modellenen Bir Sıvı Seviye Sisteminin Gerçek Zamanlı Kontrolünün Sağlanması 1 Abdurrahman ÜNSAL 2 N.Serdar TUNABOYLU 3 Canan ULU Dumlupınar Üniversitesi, Mühendislik Fakültesi

Detaylı

MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ

MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ KARADENİZ TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BİLGİSAYAR ORGANİZASYONU LABORATUVARI MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ 1. GİRİŞ Analog işaretleri sayısal işaretlere dönüştüren elektronik devrelere

Detaylı

6. DİJİTAL / ANALOG VE ANALOG /DİJİTAL ÇEVİRİCİLER 1

6. DİJİTAL / ANALOG VE ANALOG /DİJİTAL ÇEVİRİCİLER 1 6. DİJİTAL / ANALOG VE ANALOG /DİJİTAL ÇEVİRİCİLER 1 Günümüzde kullanılan elektronik kontrol üniteleri analog ve dijital elektronik düzenlerinin birleşimi ile gerçekleşir. Gerilim, akım, direnç, frekans,

Detaylı

MikroiĢlemci ile Analog-Sayısal DönüĢtürücü (ADC)

MikroiĢlemci ile Analog-Sayısal DönüĢtürücü (ADC) KARADENĠZ TEKNĠK ÜNĠVERSĠTESĠ BĠLGĠSAYAR MÜHENDĠSLĠĞĠ BÖLÜMÜ MĠKROĠġLEMCĠ LABORATUARI MikroiĢlemci ile Analog-Sayısal DönüĢtürücü (ADC) 1. GiriĢ Analog işaretler analog donanım kullanılarak işlenebilir.

Detaylı

MUSTAFA KEMAL ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MAKİNE MÜHENDİSLİĞİ BÖLÜMÜ

MUSTAFA KEMAL ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MAKİNE MÜHENDİSLİĞİ BÖLÜMÜ MUSTAFA KEMAL ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MAKİNE MÜHENDİSLİĞİ BÖLÜMÜ MAKİNE LABORATUVARI II DENEY FÖYÜ LABVIEW PROGRAMLAMA DİLİ VE DAQ KARTI UYGULAMASI Hazırlayan Arş. Gör. Vedat YEĞİN 1. AMAÇ Bir

Detaylı

Deney 10: Analog - Dijital Dönüştürücüler (Analog to Digital Converters - ADC) Giriş

Deney 10: Analog - Dijital Dönüştürücüler (Analog to Digital Converters - ADC) Giriş Deney 10: Analog - Dijital Dönüştürücüler (Analog to Digital Converters - ADC) Analog - Dijital Dönüştürücülerin ADC0804 entegre devresi ile incelenmesi Giriş Sensör ve transdüser çıkışlarında genellikle

Detaylı

DENEY 2A: MOTOR ve TAKOJENERATÖR ÖZELLİKLERİ *

DENEY 2A: MOTOR ve TAKOJENERATÖR ÖZELLİKLERİ * ELE 301L KONTROL SİSTEMLERİ I LABORATUVARI DENEY 2A: MOTOR ve TAKOJENERATÖR ÖZELLİKLERİ * 1. DENEY MALZEMELERİ 33-110 Analog Ünite 33-100 Mekanik Ünite 01-100 Güç Kaynağı Osiloskop 2. KAVRAM Motor ve takojeneratör

Detaylı

ANOLOG-DİJİTAL DÖNÜŞTÜRÜCÜLER

ANOLOG-DİJİTAL DÖNÜŞTÜRÜCÜLER ADC ve DAC 1 BM-201 2 ANOLOG-DİJİTAL DÖNÜŞTÜRÜCÜLER Maksimum ve minimum sınırları arasında farklı değerler alarak değişken elektriksel büyüklüklere analog bilgi ya da analog değer denir. Akım ve gerilim

Detaylı

RF İle uzaktan PWM MOTOR KONTROL SİSTEMİ

RF İle uzaktan PWM MOTOR KONTROL SİSTEMİ RF İle uzaktan PWM MOTOR KONTROL SİSTEMİ MERKEZİ SİSTEM RF ANA MOTOR KONTROL ÜNİTESİ Kamera hareketlerini kontrol eden DCservo motor sistemine RF üzerinden komuta etmek, motor hareketlerine yön vermek

Detaylı

Şekil1. Geri besleme eleman türleri

Şekil1. Geri besleme eleman türleri HIZ / KONUM GERİBESLEME ELEMANLARI Geribesleme elemanları bir servo sistemin, hızını, motor milinin bulunduğu konumu ve yükün bulunduğu konumu ölçmek ve belirlemek için kullanılır. Uygulamalarda kullanılan

Detaylı

Bölüm 19 FBs-4DA/2DA Analog Çıkış Modülü

Bölüm 19 FBs-4DA/2DA Analog Çıkış Modülü Bölüm 19 FBs-4DA/2DA Analog Çıkış Modülü FBs-4DA ve FBs-2DA FBs serisinin analog çıkış modülerlerindendir. Bunlar sırasıyla 4 ve 2 kanallı 14-bitlik D/A çıkış sağlarlar. Farklı jumper ayarları ile çeşitli

Detaylı

BALIKESİR ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ S7 1200 EĞİTİM SETİ DENEY KİTAPÇIĞI

BALIKESİR ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ S7 1200 EĞİTİM SETİ DENEY KİTAPÇIĞI BALIKESİR ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ S7 1200 EĞİTİM SETİ DENEY KİTAPÇIĞI v1.6 1 İÇİNDEKİLER 1. EĞİTİM SETİNİN TANITILMASI... 3 1.1. ANA ÜNİTE (ÇANTA TİPİ)... 3 1.2. GENEL UYGULAMA MODÜLÜ

Detaylı

Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü

Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü FBs-4A2D, FATEK FBs'nin PLC serilerinin analog I/O modullerinden biridir. Analog çıkışları için 2 kanallı 14bitlik D/A çıkışı sağlar. Farklı jumper ayarlarına

Detaylı

EEM 419-Mikroişlemciler Güz 2017

EEM 419-Mikroişlemciler Güz 2017 EEM 419-Mikroişlemciler Güz 2017 Katalog Bilgisi : EEM 419 Mikroişlemciler (3+2) 4 Bir mikroişlemci kullanarak mikrobilgisayar tasarımı. Giriş/Çıkış ve direk hafıza erişimi. Paralel ve seri iletişim ve

Detaylı

Ege Üniversitesi Elektrik Elektronik Mühendisliği Bölümü Kontrol Sistemleri II Dersi

Ege Üniversitesi Elektrik Elektronik Mühendisliği Bölümü Kontrol Sistemleri II Dersi Ege Üniversitesi Elektrik Elektronik Mühendisliği Bölümü Kontrol Sistemleri II Dersi Ball and Beam Deneyi.../../205 ) Giriş Bu deneyde amaç kök yerleştirme (Pole placement) yöntemi ile top ve çubuk (ball

Detaylı

DENEY.3 - DC MOTOR KONUM-HIZ KONTROLÜ

DENEY.3 - DC MOTOR KONUM-HIZ KONTROLÜ DENEY.3 - DC MOTOR KONUM-HIZ KONTROLÜ 3.1 DC MOTOR MODELİ Şekil 3.1 DC motor eşdeğer devresi DC motor eşdeğer devresinin elektrik şeması Şekil 3.1 de verilmiştir. İlk olarak motorun elektriksel kısmını

Detaylı

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş 29.11.2016 İÇERİK Arduino Nedir? Arduino IDE Yazılımı Arduino Donanım Yapısı Elektronik Bilgisi

Detaylı

V Daq Kurulum adımları

V Daq Kurulum adımları V Daq Kurulum adımları 1. Kurulum dosyasının indirilmesi 2. Kurulum işlemleri 3. Seri port ayarlamaları 4. Kurulum klasörünün Matlab yoluna eklenmesi 5. Kurulu değil ise real time çekirdeğinin kurulması

Detaylı

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ PROGRAMIN ADI DERSIN KODU VE ADI DERSIN ISLENECEGI DÖNEM HAFTALIK DERS SAATİ DERSİN SÜRESİ ENDÜSTRİYEL ELEKTRONİK MİK.İŞLEMCİLER/MİK.DENETLEYİCİLER-2 2. Yıl, IV. Yarıyıl (Güz) 4 (Teori: 3, Uygulama: 1,

Detaylı

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9 İÇİNDEKİLER BÖLÜM 1 TEMEL LOJİK KAPI DENEYLERİ 1-1 Lojik ve Anahtara Giriş 1 1-2 Lojik Kapı Devreleri... 9 a. Diyot Lojiği (DL) devresi b. Direnç-Transistor Lojiği (RTL) devresi c. Diyot-Transistor Lojiği

Detaylı

idea Kontrol Kartı (idea Board jv2.1) Kullanım Kılavuzu

idea Kontrol Kartı (idea Board jv2.1) Kullanım Kılavuzu idea Kontrol Kartı (idea Board j) Kullanım Kılavuzu 1 Genel Bakış idea (Board) Kontrol Kartı robotbilim ve mekatronik uygulamalar geliştirmek için sizlere yeni bir dünyanın kapılarını aralıyor! Bu kontrol

Detaylı

ADC Devrelerinde Pratik Düşünceler

ADC Devrelerinde Pratik Düşünceler ADC Devrelerinde Pratik Düşünceler ADC nin belki de en önemli örneği çözünürlüğüdür. Çözünürlük dönüştürücü tarafından elde edilen ikili bitlerin sayısıdır. Çünkü ADC devreleri birçok kesikli adımdan birinin

Detaylı

DENEY NO : 2 DENEY ADI : Sayısal Sinyallerin Analog Sinyallere Dönüştürülmesi

DENEY NO : 2 DENEY ADI : Sayısal Sinyallerin Analog Sinyallere Dönüştürülmesi DENEY NO : 2 DENEY ADI : Sayısal Sinyallerin Analog Sinyallere Dönüştürülmesi DENEYİN AMACI :Bir sayısal-analog dönüştürücü işlemini anlama. DAC0800'ün çalışmasını anlama. DAC0800'ı kullanarak unipolar

Detaylı

SANAEM RFQ (SPP) HIZLANDIRICISI GÜÇ KAYNAKLARI VE ÖLÇME KUTUSU KONTROL SİSTEMİ. Aydın ÖZBEY İstanbul Üniversitesi

SANAEM RFQ (SPP) HIZLANDIRICISI GÜÇ KAYNAKLARI VE ÖLÇME KUTUSU KONTROL SİSTEMİ. Aydın ÖZBEY İstanbul Üniversitesi SANAEM RFQ (SPP) HIZLANDIRICISI GÜÇ KAYNAKLARI VE ÖLÇME KUTUSU KONTROL SİSTEMİ Aydın ÖZBEY İstanbul Üniversitesi Proton hızlandırıcısı kontrol sistemi Neler üzerinde duracağız? Kontrol edilecek parametreler

Detaylı

IBR IMBus Modüller. IMBus evrensel bir Ölçüm kutusudur.

IBR IMBus Modüller. IMBus evrensel bir Ölçüm kutusudur. IMBus evrensel bir Ölçüm kutusudur. Ölçüm Kutusu [IMBus] metroloji ve arayüz alanında bir teknoloji adımıdır. Tüm sensor ve ölçü aletleri için güçlü bağlantı modülleri ile bilgisayar arayüzlerinde maksimum

Detaylı

ABSOLUTE ROTARY ENKODER Çok Turlu Absolute Enkoder, Manyetik Ölçüm GENEL ÖZELLİKLER

ABSOLUTE ROTARY ENKODER Çok Turlu Absolute Enkoder, Manyetik Ölçüm GENEL ÖZELLİKLER ABSOLUTE ROTARY ENKODER Çok Turlu Absolute Enkoder, Manyetik Ölçüm MAS Analog Çıkışlı MAS-S 50 MAS-S 58 MAS-B 50 MAS-B 58 Manyetik prensiple absolute (mutlak) ölçüm 50 mm veya 58 mm gövde çapı seçenekleri

Detaylı

Servo motorlar EMMS-AS

Servo motorlar EMMS-AS CMMS-AS ve CMMP-AS motor sürücülerine uygun Tek tur (standart), çoklu tur (opsiyonel) dijital mutlak enkoder Enerji gidip-geldikten sonra pozisyon kaybetmeme Uyumluredüktör Korumasınıfı IP54 Ek bilgi Internet:.../emms-as

Detaylı

GÖRSEL EKRAN TASARIMI (VISUALIZATIONS)

GÖRSEL EKRAN TASARIMI (VISUALIZATIONS) GÖRSEL EKRAN TASARIMI (VISUALIZATIONS) Visualizations sekmesi, PLC programlarına görsel arayüz tasarlamak için kullanılır. Ancak dokunmatik (touch) panellerin çoğu bu görsel arayüzü desteklememektedirler.

Detaylı

Online teknik sayfa. ACM60B-S1KE13x06 ACM60 MUTLAK ENKODER

Online teknik sayfa. ACM60B-S1KE13x06 ACM60 MUTLAK ENKODER Online teknik sayfa ACM60B-SKEx06 ACM60 A B C D E F H I J K L M N O P Q R S T Resimler farklı olabilir Ayrıntılı teknik bilgiler Performans Devir başına adım sayısı Devir sayısı Sipariş bilgileri Tip Diğer

Detaylı

Haftalık Ders Saati Okul Eğitimi Süresi

Haftalık Ders Saati Okul Eğitimi Süresi DERSİN ADI BÖLÜM PROGRAM DÖNEMİ DERSİN DİLİ DERS KATEGORİSİ ÖN ŞARTLAR SÜRE VE DAĞILIMI KREDİ DERSİN AMACI ÖĞRENME ÇIKTILARI VE YETERLİKLER DERSİN İÇERİĞİ VE DAĞILIMI (MODÜLLER VE HAFTALARA GÖRE DAĞILIMI)

Detaylı

FBs-serisi. Programlanabilir Kontrol Cihazı. Kullanım Kitabı - II [ İleri Düzey Uygulamalar. Önsöz, İçerik. FBs-PLC Interrupt Fonksiyonu 9

FBs-serisi. Programlanabilir Kontrol Cihazı. Kullanım Kitabı - II [ İleri Düzey Uygulamalar. Önsöz, İçerik. FBs-PLC Interrupt Fonksiyonu 9 Önsöz, İçerik FBs-PLC Interrupt Fonksiyonu 9 FBs-PLC Yüksek Hızlı Sayıcı ve Zamanlayıcı 10 FBs-PLC nin Haberleşmesi 11 FBs-serisi Programlanabilir Kontrol Cihazı FBs-PLC Haberleşme Bağlantı Uygulamaları

Detaylı

KZ MEKATRONİK. Temel Elektrik Elektronik Eğitim Seti Ana Ünite

KZ MEKATRONİK. Temel Elektrik Elektronik Eğitim Seti Ana Ünite Ana Ünite ana ünitesi, analog uygulamalar, dijital uygulamalar ve temel devre analizi uygulamalarının yapılabileceği şekilde çantalı ve masa üstü kullanıma uygun yapıda tasarlanmıştır. İsteğe bağlı olarak

Detaylı

ÖN SÖZ... İİİ İÇİNDEKİLER... V BÖLÜM 1: DİJİTAL ÖLÇME TEKNİKLERİ... 1

ÖN SÖZ... İİİ İÇİNDEKİLER... V BÖLÜM 1: DİJİTAL ÖLÇME TEKNİKLERİ... 1 İÇİNDEKİLER ÖN SÖZ... İİİ İÇİNDEKİLER... V BÖLÜM 1: DİJİTAL ÖLÇME TEKNİKLERİ... 1 GENEL AÇIKLAMALAR TEMEL KARAKTERİSTİKLER... 1 1. GİRİŞ... 1 2. DİJİTAL ÖLÇME CİHAZLARINI FARKLANDIRAN TEMEL BELİRTİLER...

Detaylı

Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS. Mikroişlemci Arayüz Sistemleri EEE406 8 3+0 3 5

Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS. Mikroişlemci Arayüz Sistemleri EEE406 8 3+0 3 5 DERS BİLGİLERİ Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS Mikroişlemci Arayüz Sistemleri EEE406 8 3+0 3 5 Ön Koşul Dersleri Dersin Dili Dersin Seviyesi Dersin Türü İngilizce Lisans Seçmeli /

Detaylı

Ek bilgi Internet:.../mtr-dci

Ek bilgi Internet:.../mtr-dci Motor, sürücü ve enkoder aynı gövdede, kapalı çevrim çalıșma (Servo-Lite) Entegre redüktör ve fren seçeneği Kompakttasarım I/O arayüzü veya çeșitli fieldbus lar üzerinden kontrol ÜcretsizFCTyazılımıyla

Detaylı

Ege Üniversitesi Elektrik Elektronik Mühendisliği Bölümü Kontrol Sistemleri II Dersi

Ege Üniversitesi Elektrik Elektronik Mühendisliği Bölümü Kontrol Sistemleri II Dersi 1) Giriş Ege Üniversitesi Elektrik Elektronik Mühendisliği Bölümü Kontrol Sistemleri II Dersi Pendulum Deneyi.../../2018 Bu deneyde amaç Linear Quadratic Regulator (LQR) ile döner ters sarkaç (rotary inverted

Detaylı

ABSOLUTE ROTARY ENKODER Tek Turlu Absolute Enkoder, Manyetik Ölçüm GENEL ÖZELLİKLER

ABSOLUTE ROTARY ENKODER Tek Turlu Absolute Enkoder, Manyetik Ölçüm GENEL ÖZELLİKLER ABSOLUTE ROTARY ENKODER Tek Turlu Absolute Enkoder, Manyetik Ölçüm SAS Analog Çıkışlı SAS-S (ŞAFTLI) SAS- B (YARI HOLLOW ŞAFTLI) SAS-K (KOLLU) GENEL ÖZELLİKLER SAS serisi enkoderler absolute olarak çalışırlar.

Detaylı

SRV2 +2 FONKSİYON. Servo Motor Eğitim Seti. Tamamen mekatronik özel tasarım. Pratik Becerileri kazanmak ve Proje Odaklı Uzmanlık İçin

SRV2 +2 FONKSİYON. Servo Motor Eğitim Seti. Tamamen mekatronik özel tasarım. Pratik Becerileri kazanmak ve Proje Odaklı Uzmanlık İçin SRV2 Servo Motor Eğitim Seti Tamamen mekatronik özel tasarım Pratik Becerileri kazanmak ve Proje Odaklı Uzmanlık İçin %100 kendi imalatımız +2 FONKSİYON Pnömatik 2.eksen Vakumlu Parça Tutma Eğitim Seti

Detaylı

Ege Üniversitesi Elektrik Elektronik Mühendisliği Bölümü Kontrol Sistemleri II Dersi

Ege Üniversitesi Elektrik Elektronik Mühendisliği Bölümü Kontrol Sistemleri II Dersi 1) Giriş Ege Üniversitesi Elektrik Elektronik Mühendisliği Bölümü Kontrol Sistemleri II Dersi Pendulum Deneyi.../../2015 Bu deneyde amaç Linear Quadratic Regulator (LQR) ile döner ters sarkaç (rotary inverted

Detaylı

HİDROLİK SİSTEMLERİN TASARIMINDA PAKET PROGRAM VE HİDROLİK MODÜLLER KULLANILARAK KOLAY BENZETİM YAPILMASI

HİDROLİK SİSTEMLERİN TASARIMINDA PAKET PROGRAM VE HİDROLİK MODÜLLER KULLANILARAK KOLAY BENZETİM YAPILMASI 49 HİDROLİK SİSTEMLERİN TASARIMINDA PAKET PROGRAM VE HİDROLİK MODÜLLER KULLANILARAK KOLAY BENZETİM YAPILMASI Tuna BALKAN M. A. Sahir ARIKAN ÖZET Bu çalışmada, hidrolik sistemlerin tasarımında hazır ticari

Detaylı

01 SERİSİ OTOMATİK KAYAR KAPILAR ÇOK YÖNLÜ KAPI ÇÖZÜMLERİ

01 SERİSİ OTOMATİK KAYAR KAPILAR ÇOK YÖNLÜ KAPI ÇÖZÜMLERİ 01 SERİSİ OTOMATİK KAYAR KAPILAR ÇOK YÖNLÜ KAPI ÇÖZÜMLERİ EL / AL ÇOK YÜKSEK VE AĞIR KAPILAR İÇİN ZİNCİRLİ MEKANİZMA Standart boyutların üzerindeki kapılarınız için mükemmel bir çözüm. Yeni nesil zincirli

Detaylı

MANİSA CELAL BAYAR ÜNİVERSİTESİ TURGUTLU MESLEK YÜKSEKOKULU. KONTROL ve OTOMASYON TEKNOLOJİSİ PROGRAMI-INTORN DERS İÇERİKLERİ I.

MANİSA CELAL BAYAR ÜNİVERSİTESİ TURGUTLU MESLEK YÜKSEKOKULU. KONTROL ve OTOMASYON TEKNOLOJİSİ PROGRAMI-INTORN DERS İÇERİKLERİ I. MANİSA CELAL BAYAR ÜNİVERSİTESİ TURGUTLU MESLEK YÜKSEKOKULU KONTROL ve OTOMASYON TEKNOLOJİSİ PROGRAMI-INTORN DERS İÇERİKLERİ I. DÖNEM OTM 1101 MATEMATİK I Z 2 0 2 4 OTM 1103 DOĞRU AKIM DEVRELERİ Z 3 1

Detaylı

SSM - 4 ORANSAL SERVOMOTOR SSM 4 TANITIM BİLGİLERİ :

SSM - 4 ORANSAL SERVOMOTOR SSM 4 TANITIM BİLGİLERİ : SSM - 4 ORANSAL SERVOMOTOR SSM 4 TANITIM BİLGİLERİ : SSM Serisi servo motorlar Era Ltd.Şti. ticari ürünüdür. Saha da çalışması için basit ve sorunsuz bir yapıya sahiptir. 4 Pinli bağlantı soketi ile, kolay

Detaylı

DENEY 6a- Dijital/Analog Çevirici (DAC) Devreleri

DENEY 6a- Dijital/Analog Çevirici (DAC) Devreleri DENEY 6a- Dijital/Analog Çevirici (DAC) Devreleri DENEYİN AMACI 1. Dijitalden Analog a çevrimin temel kavramlarının ve teorilerinin anlaşılması GENEL BİLGİLER Şekil-1 Şekil-1 de bir direnç ağıyla gerçekleştirilmiş

Detaylı

OTOMATİK KONTROL 18.10.2015

OTOMATİK KONTROL 18.10.2015 18.10.2015 OTOMATİK KONTROL Giriş, Motivasyon, Tarihi gelişim - Tanım ve kavramlar, Lineer Sistemler, Geri Besleme Kavramı, Sistem Modellenmesi, Transfer Fonksiyonları - Durum Değişkenleri Modelleri Elektriksel

Detaylı

Mentor II DC sürücüler için Pratik Devreye Alma Klavuzu

Mentor II DC sürücüler için Pratik Devreye Alma Klavuzu Mentor II DC sürücüler için Pratik Devreye Alma Klavuzu 1. Adım : Motor & Sürücü Bağlantılarını Yapınız. 2. Adım : Motor Plaka Değerlerine Bakınız Mentor II nin parametrelerini ayarlamak için, önce motor

Detaylı

İSTANBUL TEKNİK ÜNİVERSİTESİ İMALAT MÜHENDİSLİĞİ PROGRAMI BAHAR IML-322 İMALATTA OTOMASYON ÖDEV 1 Çözümü Y.Doç. Dr.

İSTANBUL TEKNİK ÜNİVERSİTESİ İMALAT MÜHENDİSLİĞİ PROGRAMI BAHAR IML-322 İMALATTA OTOMASYON ÖDEV 1 Çözümü Y.Doç. Dr. İSTANBUL TEKNİK ÜNİVERSİTESİ İMALAT MÜHENDİSLİĞİ PROGRAMI BAHAR 2009-2010 IML-322 İMALATTA OTOMASYON ÖDEV 1 Çözümü Y.Doç. Dr. Erdinç ALTUĞ Problem 1. (10 Puan) Gündelik hayatımızda karşımıza çıkan herhangi

Detaylı

ÜRETİM HATTINDA MİL ŞEKLİNDEKİ PARÇALAR İÇİN MAKSİMUM HASSASLIK. MAHR'DAN MİL METROLOJİSİ

ÜRETİM HATTINDA MİL ŞEKLİNDEKİ PARÇALAR İÇİN MAKSİMUM HASSASLIK. MAHR'DAN MİL METROLOJİSİ M a r S h a f t. M i l Ö l ç me M a k i n e l eri ÜRETİM HATTINDA MİL ŞEKLİNDEKİ PARÇALAR İÇİN MAKSİMUM HASSASLIK. MAHR'DAN MİL METROLOJİSİ MARSHAFT ürünleri ile ilgili en güncel bilgilere web sitemizden

Detaylı

ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY 6 ANALOG/DİGİTAL DÖNÜŞTÜRÜCÜ. Grup Numara Ad Soyad RAPORU HAZIRLAYAN:

ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY 6 ANALOG/DİGİTAL DÖNÜŞTÜRÜCÜ. Grup Numara Ad Soyad RAPORU HAZIRLAYAN: ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY 6 ANALOG/DİGİTAL DÖNÜŞTÜRÜCÜ DENEYİ YAPANLAR Grup Numara Ad Soyad RAPORU HAZIRLAYAN: Deneyin Yapılış Tarihi Raporun Geleceği Tarih Raporun

Detaylı

idealab Kullanım Bilgileri

idealab Kullanım Bilgileri idealab Kullanım Bilgileri Hızlı Başlangıç 1. idea kontrol kartını bilgisayara bağlayın. 2. Kartın gücünü açıp Bağlan tuşuna tıklayarak Modüller Ekranı na geçin. 3. Modüller Ekranı nda kart üzerindeki

Detaylı

T.C. RC SERVO MOTOR KONTROLÜ

T.C. RC SERVO MOTOR KONTROLÜ T.C. BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ RC SERVO MOTOR KONTROLÜ İBRAHİM ALİ METİN BİLECİK 30 Mart 2015 T.C. BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ MÜHENDİSLİK

Detaylı

MOTOR SÜRÜCÜLERİ VE ROBOTİK. Dersin Modülleri Süre Kazandırılan Yeterlikler Servo Motor ve

MOTOR SÜRÜCÜLERİ VE ROBOTİK. Dersin Modülleri Süre Kazandırılan Yeterlikler Servo Motor ve MOTOR SÜRÜCÜLERİ VE ROBOTİK Dersin Modülleri Süre Kazandırılan Yeterlikler Servo Motor ve Servo motor ve sürücülerin bağlantısını ve 40/32 Sürücüleri kontrolünü yapmak Step Motor ve Step motorun sürücü

Detaylı

DC Akım/Gerilim Ölçümü ve Ohm Yasası Deney 2

DC Akım/Gerilim Ölçümü ve Ohm Yasası Deney 2 DC Akım/Gerilim Ölçümü ve Ohm Yasası Deney 2 DENEY 1-3 DC Gerilim Ölçümü DENEYİN AMACI 1. DC gerilimin nasıl ölçüldüğünü öğrenmek. 2. KL-22001 Deney Düzeneğini tanımak. 3. Voltmetrenin nasıl kullanıldığını

Detaylı

İÇİNDEKİLER ASD-B2 Serisi Sürücü Konnektör ve Terminal Bağlantıları

İÇİNDEKİLER ASD-B2 Serisi Sürücü Konnektör ve Terminal Bağlantıları İÇİNDEKİLER 1- ASD-A2 Serisi Sürücüler ve Motorlar 1.1-Temel Bağlantılar 1.1.1- ASD-A2 Serisi Motor Sürücü Bağlantısı 1.1.2- ASD-A2 Serisi Encoder Sürücü Bağlantısı 1.2-Ayrıntılı Bağlantılar 1.2-1. Çevre

Detaylı

EEM 311 KONTROL LABORATUARI

EEM 311 KONTROL LABORATUARI Dicle Üniversitesi Mühendislik Fakültesi Elektrik Elektronik Mühendisliği Bölümü EEM 311 KONTROL LABORATUARI DENEY 01: OPAMP KARAKTERİSTİĞİ 2012-2013 GÜZ DÖNEMİ Grup Kodu: Deney Tarihi: Raporu Hazırlayan

Detaylı

Online teknik sayfa ACS36-K1K0-K01 ACS/ACM36 MUTLAK ENKODER

Online teknik sayfa ACS36-K1K0-K01 ACS/ACM36 MUTLAK ENKODER Online teknik sayfa ACS36-K1K0-K01 ACS/ACM36 A B C D E F Resimler farklı olabilir Ayrıntılı teknik bilgiler Performans Devir başına adım sayısı Devir sayısı Sipariş bilgileri Tip Stok no. ACS36-K1K0-K01

Detaylı

NX-CIF105 MODBUS CIF MANAGER. NX-CIF105 CIF MANAGER CIF105 Ayarları CIF Mapping yazılımı Yazılım yapısı

NX-CIF105 MODBUS CIF MANAGER. NX-CIF105 CIF MANAGER CIF105 Ayarları CIF Mapping yazılımı Yazılım yapısı NX-CIF105 MODBUS CIF MANAGER NX-CIF105 CIF MANAGER CIF105 Ayarları CIF Mapping yazılımı Yazılım yapısı NX-CIF105 NX-CIF105(buradan itibaren CIF105 olarak isimlendirilecektir)nx-ecc uzak I/O ethercat kuplörü

Detaylı

TAŞIT ELEKTRONİĞİ VE DENETİMİ

TAŞIT ELEKTRONİĞİ VE DENETİMİ OTOMATİK KONTROL LABORATUARI DENEYLERİ 1 TAŞIT ELEKTRONİĞİ VE DENETİMİ Prof. Dr. İbrahim YÜKSEL AMAÇ Günümüz elektronik denetimli otomobillerde kullanılan algılayıcılar hakkında genel bilgi vermek ve bu

Detaylı

OMS-312 ENDÜSTRİYEL SENSÖRLER EĞİTİM SETİ

OMS-312 ENDÜSTRİYEL SENSÖRLER EĞİTİM SETİ OMS-312 ENDÜSTRİYEL SENSÖRLER EĞİTİM SETİ ENDÜSTRİYE SENSÖR EĞİTİM SETİ STANDART DONANIM LİSTESİ M18 endüktif sensör M12 endüktif sensör reflektörden yansımalı optik sensör ve reflektörü cisimden yansımalı

Detaylı

MM 409 MatLAB-Simulink e GİRİŞ

MM 409 MatLAB-Simulink e GİRİŞ MM 409 MatLAB-Simulink e GİRİŞ 2016-2017 Güz Dönemi 28 Ekim 2016 Arş.Gör. B. Mahmut KOCAGİL Ajanda-İçerik Simulink Nedir? Nerelerde Kullanılır? Avantaj / Dezavantajları Nelerdir? Simulink Arayüzü Örnek

Detaylı

DENEY NO : 1 DENEY ADI : Analog Sinyallerin Sayısal Sinyallere Dönüştürülmesi

DENEY NO : 1 DENEY ADI : Analog Sinyallerin Sayısal Sinyallere Dönüştürülmesi DENEY NO : 1 DENEY ADI : Analog Sinyallerin Sayısal Sinyallere Dönüştürülmesi DENEYİN AMACI : Analogdan sayısala çevrimde çeviricinin işleyişini anlama. ADC0804 ve ADC0809'un özelliklerini anlama. ADC0804

Detaylı

DENEY 3 HAVALI KONUM KONTROL SİSTEMİ DENEY FÖYÜ

DENEY 3 HAVALI KONUM KONTROL SİSTEMİ DENEY FÖYÜ DENEY 3 HAVALI KONUM KONTROL SİSTEMİ DENEY FÖYÜ 1. Deneyin Amacı Bu deneyde, bir fiziksel sistem verildiğinde, bu sistemi kontrol etmek için temelde hangi adımların izlenmesi gerektiğinin kavranması amaçlanmaktadır.

Detaylı

5. (10 Puan) Op-Amp devresine aşağıda gösterildiği gibi bir SİNÜS dalga formu uygulanmıştır. Op-Amp devresinin çıkış sinyal formunu çiziniz.

5. (10 Puan) Op-Amp devresine aşağıda gösterildiği gibi bir SİNÜS dalga formu uygulanmıştır. Op-Amp devresinin çıkış sinyal formunu çiziniz. MAK442 MT3-MEKATRONİK S Ü L E Y M A N D E MİREL ÜNİVERSİTES E Sİ M Ü H E N DİSLİK-MİMM A R L I K F A K Ü L T E Sİ M A KİNA M Ü H E N DİSLİĞİ BÖLÜMÜ Ü ÖĞRENCİ ADI NO İMZA SORU/PUAN 1/15 2/15 3/10 4/10 5/10

Detaylı

T.C. EGE ÜNİVERSİTESİ Bergama Meslek Yüksekokulu Müdürlüğü

T.C. EGE ÜNİVERSİTESİ Bergama Meslek Yüksekokulu Müdürlüğü ELEKTRONİK TEKNOLOJİSİ PROGRAMI İ.Ö. (İKMEP) DERS İÇERİKLERİ I. SINIF I. YARIYIL 8913001012010 - TÜRK DİLİ I Dilin tanımı. Dil ve iletişim, dil-düşünce-millet-edebiyat-kültür ilişkisi. Dilin türleri. Yeryüzündeki

Detaylı

Online teknik sayfa. ACM60B-S1LE13x06 ACM60 MUTLAK ENKODER

Online teknik sayfa. ACM60B-S1LE13x06 ACM60 MUTLAK ENKODER Online teknik sayfa ACM60B-S1LE1x06 ACM60 ACM60B-S1LE1x06 ACM60 A B C D E F H I J K L M N O P Q R S T Resimler farklı olabilir Ayrıntılı teknik bilgiler Performans Devir başına adım sayısı Devir sayısı

Detaylı

T.C. EGE ÜNİVERSİTESİ ALİAĞA MESLEK YÜKSEKOKULU

T.C. EGE ÜNİVERSİTESİ ALİAĞA MESLEK YÜKSEKOKULU ELEKTRİK PROGRAMI DERS İÇERİKLERİ 2013 / 2014 EĞİTİM ÖĞRETİM DÖNEMİ 1. SINIF 1. YARIYIL 107 Matematik-I 3 0 3 3 Sayılar,olasılık ile ilgili temel esasları uygulamak, cebir çözümlerini yapmak, geometri

Detaylı

PLCM 28 OPSİYONEL MODÜL. Modüler PLC Eğitim Seti. PLC Çevre Birimleri PLC Programlama. %100 kendi imalatımız MODELLER. Tek Yönlü Çift Yönlü

PLCM 28 OPSİYONEL MODÜL. Modüler PLC Eğitim Seti. PLC Çevre Birimleri PLC Programlama. %100 kendi imalatımız MODELLER. Tek Yönlü Çift Yönlü PLCM Modüler PLC Eğitim Seti %100 kendi imalatımız PLC Çevre Birimleri PLC Programlama 28 OPSİYONEL MODÜL MODELLER Tek Yönlü Çift Yönlü UYGULAMALI VİDEO EĞİTİMLERİ Her zaman her yerden... Video eğitimleri

Detaylı

INVT IVC1. -Kompakt Tip PLC. Marketing 2014 HM

INVT IVC1. -Kompakt Tip PLC. Marketing 2014 HM INVT IVC1 -Kompakt Tip PLC Marketing 2014 HM Özelikler IVC1 Özelikleri Genişleme 128 IO 7 modül genişleme Haberleşme Arayüzü 2 seri port: 1xRS232, 1xRS232/485 Temel komut işlem Hızı 0.3μs Pulse Girişi

Detaylı

Bölüm 8 Ardışıl Lojik Devre Uygulamaları

Bölüm 8 Ardışıl Lojik Devre Uygulamaları Bölüm 8 Ardışıl Lojik Devre Uygulamaları DENEY 8-1 Kayan LED Kontrolü DENEYİN AMACI 1. Kayan LED kontrol devresinin çalışma prensibini anlamak. 2. Bir kayan LED kontrol devresi gerçekleştirmek ve çalıştırmak.

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 5 ADC, Analog Sayısal Dönüştürücüler Analog İşaretler Elektronik devrelerin giriş işaretlerinin büyük çoğunluğu analogtur. Günlük yaşantımızda

Detaylı

S Ü L E Y M A N D E M İ R E L Ü N İ V E R S İ T E S İ M Ü H E N D İ S L İ F A K Ü L T E S İ O T O M O T İ V M Ü H E N D İ S L İ Ğ İ P R O G R A M I

S Ü L E Y M A N D E M İ R E L Ü N İ V E R S İ T E S İ M Ü H E N D İ S L İ F A K Ü L T E S İ O T O M O T İ V M Ü H E N D İ S L İ Ğ İ P R O G R A M I OTM309 MEKATRONİK S Ü L E Y M A N D E M İ R E L Ü N İ V E R S İ T E S İ M Ü H E N D İ S L İ F A K Ü L T E S İ O T O M O T İ V M Ü H E N D İ S L İ Ğ İ P R O G R A M I ÖĞRENCİ ADI NO İMZA TARİH 26.11.2013

Detaylı

MANİSA CELAL BAYAR ÜNİVERSİTESİ TURGUTLU MESLEK YÜKSEKOKULU ELEKTRONİK TEKNOLOJİSİ PROGRAMI-INTORN DERS İÇERİKLERİ I. DÖNEM

MANİSA CELAL BAYAR ÜNİVERSİTESİ TURGUTLU MESLEK YÜKSEKOKULU ELEKTRONİK TEKNOLOJİSİ PROGRAMI-INTORN DERS İÇERİKLERİ I. DÖNEM MANİSA CELAL BAYAR ÜNİVERSİTESİ TURGUTLU MESLEK YÜKSEKOKULU ELEKTRONİK TEKNOLOJİSİ PROGRAMI-INTORN DERS İÇERİKLERİ I. DÖNEM ELO 1101 MATEMATİK I Z 2 0 2 4 ELO 1103 DOĞRU AKIM DEVRELERİ Z 3 1 4 6 ELO 1105

Detaylı

DENEY 1: AC de Akım ve Gerilim Ölçme

DENEY 1: AC de Akım ve Gerilim Ölçme 1. AC DE AKIM VE GERİLİM ÖLÇME 1.1. Deneyin Amacı: a.) Ampermetre, voltmetre ve osiloskop kullanımını öğrenmek, bu aletler ile alternatif akımda akım ve gerilim ölçmek. 1.2.Teorik Bilgi: Alternatif akımı

Detaylı

BÖLÜM 24 CPU 22X. Analog giriş-çıkış modülü EM 235 Analog çıkış modülü EM 232 Analog girişler : IW0...IW6. Akım dönüştürücü. Gerilim dönüştürücü EM235

BÖLÜM 24 CPU 22X. Analog giriş-çıkış modülü EM 235 Analog çıkış modülü EM 232 Analog girişler : IW0...IW6. Akım dönüştürücü. Gerilim dönüştürücü EM235 BÖLÜM 24 PLC OTOMASYONUNDA ANALOG SĐNYAL ĐŞLEME VE ANALOG GĐRĐŞLERDE ÖLÇÜL DEĞERLERĐN TANIMLANMASI Analog giriş sinyallerinin işlenebilmesi için öncelikli olarak bir analog modüle ihtiyaç bulunmaktadır.

Detaylı

Bu uygulama saatinde, dinamik sistemlerin simülasyonu (benzetimi) için geliştirilmiş olan, oldukça kullanışlı bir arayüz, Simulink, tanıtılacaktır.

Bu uygulama saatinde, dinamik sistemlerin simülasyonu (benzetimi) için geliştirilmiş olan, oldukça kullanışlı bir arayüz, Simulink, tanıtılacaktır. Bu uygulama saatinde, dinamik sistemlerin simülasyonu (benzetimi) için geliştirilmiş olan, oldukça kullanışlı bir arayüz, Simulink, tanıtılacaktır. Simulink bir Grafik Kullanıcı Arayüzü (Graphical User

Detaylı

Teknik Katalog [Test Standı]

Teknik Katalog [Test Standı] Teknik Katalog [Test Standı] [PCE-UTU 30] PCE Teknik Cihazlar Paz. Tic. Ltd.Şti. Halkalı Merkez Mah. Pehlivan Sok. No 6/C 34303 Küçükçekmece/ İstanbul Türkiye Mail: info@pce-cihazlari.com.tr Telefon: +90

Detaylı

FRENIC MEGA ÖZET KULLANIM KLAVUZU

FRENIC MEGA ÖZET KULLANIM KLAVUZU FRENIC MEGA ÖZET KULLANIM KLAVUZU GENEL BİLGİLER SÜRÜCÜ KONTROL BAĞLANTILARI PLC 24 VDC CM DİJİTAL GİRİŞ COM UCU FWD REV X1 - X7 EN DİJİTAL GİRİŞLER ( PNP / NPN SEÇİLEBİLİR ) ENABLE GİRİŞİ SW1 Y1 - Y4

Detaylı

OTOMATİK KONTROL SİSTEMLERİ TEMEL KAVRAMLAR VE TANIMLAR

OTOMATİK KONTROL SİSTEMLERİ TEMEL KAVRAMLAR VE TANIMLAR OTOMATİK KONTROL SİSTEMLERİ TEMEL KAVRAMLAR VE TANIMLAR KONTROL SİSTEMLERİ GİRİŞ Son yıllarda kontrol sistemleri, insanlığın ve uygarlığın gelişme ve ilerlemesinde çok önemli rol oynayan bir bilim dalı

Detaylı

RTU400 SERİSİ DONANIM KILAVUZU

RTU400 SERİSİ DONANIM KILAVUZU RTU400 SERİSİ DONANIM KILAVUZU RTU410/RTU420/RTU430/RTU440/RTU450 RTU Serisi 07 / 2017 MIKRODEV_HM_RTU400 İÇİNDEKİLER ŞEKİL LİSTESİ... 2 Önsöz... 3 Mikrodev i Tanıyalım... 4 UYARI!... 5 1 RTU400 GENEL

Detaylı

Titreşim Deney Düzeneği

Titreşim Deney Düzeneği Titreşim Deney Düzeneği DENEY DÜZENEĞI PROJE SÜREÇLERI Kavramsal Tasarım Standart/Ürün Taraması Sistem Planlaması Geliştirme Süreci Test platformunun elektromekanik tasarımı Ölçüm/veri toplama sistemi

Detaylı

Esnek. Size uygun çözüm bizde var. İddiamız: her bir uygulama için bir doğru enkoder. Bunun için gereken : modüler ürün tasarımımız.

Esnek. Size uygun çözüm bizde var. İddiamız: her bir uygulama için bir doğru enkoder. Bunun için gereken : modüler ürün tasarımımız. Esnek. İddiamız: her bir uygulama için bir doğru enkoder. Bunun için gereken : modüler ürün tasarımımız. OptoPulse EIL580 size piyasada sıkça kullanılan tam delik veya kapalı, şaft çapı 8 den 15 mm ye

Detaylı

Otomatik Kontrol I. Dinamik Sistemlerin Matematik Modellenmesi. Yard.Doç.Dr. Vasfi Emre Ömürlü

Otomatik Kontrol I. Dinamik Sistemlerin Matematik Modellenmesi. Yard.Doç.Dr. Vasfi Emre Ömürlü Otomatik Kontrol I Dinamik Sistemlerin Matematik Modellenmesi Yard.Doç.Dr. Vasfi Emre Ömürlü Mekanik Sistemlerin Modellenmesi Elektriksel Sistemlerin Modellenmesi Örnekler 2 3 Giriş Karmaşık sistemlerin

Detaylı

İçİndekİler. 1. Bölüm - Mİkro Denetleyİcİ Nedİr? 2. Bölüm - MİkroDenetleyİcİlerİ Anlamak

İçİndekİler. 1. Bölüm - Mİkro Denetleyİcİ Nedİr? 2. Bölüm - MİkroDenetleyİcİlerİ Anlamak XIII İçİndekİler 1. Bölüm - Mİkro Denetleyİcİ Nedİr? Mikrodenetleyici Tanımı Mikrodenetleyicilerin Tarihçesi Mikroişlemci- Mikrodenetleyici 1. İki Kavram Arasındaki Farklar 2. Tasarım Felsefesi ve Mimari

Detaylı

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1. ARDUINO LCD ve Sensör Uygulamaları

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1. ARDUINO LCD ve Sensör Uygulamaları T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 ARDUINO LCD ve Sensör Uygulamaları DENEY SORUMLUSU Arş. Gör. Burak ULU ŞUBAT 2015 KAYSERİ

Detaylı

Bölüm 18 FBs-6AD Analog Giriş Modülü

Bölüm 18 FBs-6AD Analog Giriş Modülü Bölüm 18 FBs-6AD Analog Giriş Modülü FBs-6AD FATEK FBs serisinin analog giriş modüllerinden biridir. 12 veya 14 bit etkin çözünürlüklü 6 analog giriş sağlar. Jumper ayarları ile, sinyal akım veya gerilim

Detaylı

2019

2019 Uygulama Kitapçığı www.toybotmaker.com 2019 TOYBOT PORT YAPISI Pin Numarası Fonksiyon 3, 5, 6 ve 9 PWM 4 Buzzer 7 Kırmızı LED 8 Sarı LED 10 Servo motor 11 Yeşil LED 12 ve 13 Mesafe sensörü 1) TOYBOT İLE

Detaylı

Algılayıcılar / Transmitter

Algılayıcılar / Transmitter 1 Algılayıcı / Transmitter ATH100L Algılayıcılar / Transmitter ATH100L Kullanım Kılavuzu [Rev_1.0_ATH100L] 2 Algılayıcı / Transmitter ATH100L İÇİNDEKİLER 1. GENEL ÖZELLİKLER... 3 1.1. ATH100L... 3 1.2.

Detaylı

YENİLENEBİLİR ENERJİ EĞİTİM SETİ

YENİLENEBİLİR ENERJİ EĞİTİM SETİ YENİLENEBİLİR ENERJİ EĞİTİM SETİ Yenilenebilir enerji sistemleri eğitim seti temel olarak rüzgar türbini ve güneş panelleri ile elektrik üretimini uygulamalı eğitime taşımak amacıyla tasarlanmış, kapalı

Detaylı

Fatih Üniversitesi. İstanbul. Haziran 2010. Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır.

Fatih Üniversitesi. İstanbul. Haziran 2010. Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır. Fatih Üniversitesi SIMATIC S7-200 TEMEL KUMANDA UYGULAMALARI 1 İstanbul Haziran 2010 Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır. İÇİNDEKİLER 1. GİRİŞ...

Detaylı

Sayılar, cebir, denklemler ve eşitsizlikler, fonksiyonlar, trigonometri, komplerks sayılar, logaritma

Sayılar, cebir, denklemler ve eşitsizlikler, fonksiyonlar, trigonometri, komplerks sayılar, logaritma KONTROL ve OTOMASYON TEKNOLOJİSİ DERS İÇERİKLERİ I. Yarıyıl Matematik - I Sayılar, cebir, denklemler ve eşitsizlikler, fonksiyonlar, trigonometri, komplerks sayılar, logaritma Bilgisayar - I Wındows işletim

Detaylı

T.C. BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ MESLEK YÜKSEKOKULU 2013-2014 EĞİTİM-ÖĞRETİM YILI BAHAR YARIYILI YARIYIL İÇİ SINAV PROGRAMI

T.C. BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ MESLEK YÜKSEKOKULU 2013-2014 EĞİTİM-ÖĞRETİM YILI BAHAR YARIYILI YARIYIL İÇİ SINAV PROGRAMI 11-İNŞAAT TEKNOLOJİSİ PROGRAMI 1. SINIF SINAV PROGRAMI 07.04.14 Pazartesi 10.30 D3-D4 1 Matematik II 07.04.14 Pazartesi 15.30 OD1 1 Mukavemet 08.04.14 Salı 15.30 OD1 1 Beton Teknolojisi 09.04.14 Çarşamba

Detaylı

kdeney NO:1 OSİLASKOP VE MULTİMETRE İLE ÖLÇME 1) Osiloskop ile Periyot, Frekans ve Gerlim Ölçme

kdeney NO:1 OSİLASKOP VE MULTİMETRE İLE ÖLÇME 1) Osiloskop ile Periyot, Frekans ve Gerlim Ölçme kdeney NO:1 OSİLASKOP VE MULTİMETRE İLE ÖLÇME 1) Osiloskop ile Periyot, Frekans ve Gerlim Ölçme Amaç: Osiloskop kullanarak AC gerilimin genlik, periyot ve frekans değerlerinin ölçmesi Gerekli Ekipmanlar:

Detaylı

DCS DCS ENDÜSTRİYEL KONTROL SİSTEMLERİ & YAZILIM

DCS DCS ENDÜSTRİYEL KONTROL SİSTEMLERİ & YAZILIM DCS RF İLE UZAKTAN KONTROL SİSTEMLERİ UZAKTAN MOTOR KONTROL SİSTEMLERİ SU DEPOSU & KUYU OTOMASYONU VERİ AKTARIM ÜNİTELER ( DATA TRANSFER ) RF ISM 433 / 868 /915 Mhz Alıcı & Verici ünitesi ( Etki alanı

Detaylı

ANALOG SAYISAL DÖNÜŞTÜRÜCÜ DENEYİ TÜMLEŞİK (ENTEGRE) ADC DEVRESİ İLE

ANALOG SAYISAL DÖNÜŞTÜRÜCÜ DENEYİ TÜMLEŞİK (ENTEGRE) ADC DEVRESİ İLE 1 Deneyin Amacı: ANALOG SAYISAL DÖNÜŞTÜRÜCÜ DENEYİ TÜMLEŞİK (ENTEGRE) ADC DEVRESİ İLE Analog Sayısal Dönüştürücüleri (Analog to Digital Converter, ADC) tanımak ve kullanmaktır. Sayısal elektronik devrelerinin

Detaylı

1.3.4. Veri Toplama Üniteleri

1.3.4. Veri Toplama Üniteleri 1.3.4. Veri Toplama Üniteleri Kontrol üniteleri SCADA sistemlerinin diğer önemli birimini oluşturur. Kontrol üniteleri kontrol odası seviyesinden çeşitli yardımcı işletmelerin kontrol ünitelerinden işletme

Detaylı

ELE 301L KONTROL SİSTEMLERİ I LABORATUVARI DENEY 4:ORANSAL, TÜREVSEL VE İNTEGRAL (PID) KONTROL ELEMANLARININ İNCELENMESİ 2

ELE 301L KONTROL SİSTEMLERİ I LABORATUVARI DENEY 4:ORANSAL, TÜREVSEL VE İNTEGRAL (PID) KONTROL ELEMANLARININ İNCELENMESİ 2 ELE 301L KONTROL SİSTEMLERİ I LABORATUVARI DENEY 4:ORANSAL, TÜREVSEL VE İNTEGRAL (PID) KONTROL ELEMANLARININ İNCELENMESİ 2 1. DENEY MALZEMELERİ 33-110 Analog Ünite 33-100 Mekanik Ünite 01-100 Güç Kaynağı

Detaylı

ORION ECHO ECH0201 Kullanıcı Kitapçığı Ver. 1.03

ORION ECHO ECH0201 Kullanıcı Kitapçığı Ver. 1.03 ORION ECHO ECH0201 Kullanıcı Kitapçığı Ver. 1.03 İÇİNDEKİLER 1.0. Orion ECH 0201 Ultrasonic Seviye Transmitteri 3 1.1. Ech_0201 Dc Hata Kontrolü Özellikleri 3 1.2. Uygulamalar 3 1.3. Teknik Özellikler

Detaylı

Presinizi Servoprese Dönüştürmek Pres Sürücüsü PSH

Presinizi Servoprese Dönüştürmek Pres Sürücüsü PSH Presinizi Servoprese Dönüştürmek Pres Sürücüsü PSH Presinizi Yenilikçi Bir Anlayışla Kontrol Edin. Yenilikçilik PSH Pres sürücüsünde Servopompalar klasik valf ve kontrol teknolojisinin yerini alır. Bu

Detaylı