DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI"

Transkript

1 DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI DENEY V : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI AMAÇLAR: ALTERA tarafından geliştirilen son teknoloji elektronik tasarım otomasyonu yazılımı olan QUARTUS II ortamında VHDL dilini kullanmaya başlayacağız. QUARTUS II ile ilgili tasarım arayüzünü ve temel VHDL yapılarına ait bilgilerimizi tazeleyeceğiz. Uygulamalar yaparak becerilerimizi geliştireceğiz. Deney Öncesi Çalışma: Aşağıdaki Açıklamlar Bölümünü okuyunuz ve Deney Adımlarını yapmaya çalışınız. Açıklamalar: VHDL İle Tasarım İçin Temel Adımlar VHDL dili STD_LOGIC (Standard Mantık) sınıfındaki veri çeşitlerini destekler. Bu sınıfdaki sinyaller değişik mantık değerlerine sahip olabilirler. Örneğin, 1, 0, ve X. STD_LOGIC sınıfındaki veri tiplerini kullanabilmek için bu sınıfın tanımını VHDL koduna eklemek gerekir. Bu amaçla oluşturulan dosya kütüphanelerini "library" anahtar kelimesiyle tanımlayabilir ve VHDL koduna ekleyebiliriz. STD_LOGIC veri sınıfının tanımlandığı kütüphanenin adı "ieee" dir ve VHDL derleyicisine LIBRARY ieee; direktifi ile bildirilir. ieee kütüphanesinde STD_LOGIC veri sınıfını içeren dosyanın adı "ieee.std_logic_1164.all" dir sayısı STD_LOGIC veri sınıfının IEEE standardına ait olduğunu gösterir ve dosya uzantısı all" ile bu dosyadaki tüm tanımlamaların kullanılacağını bildirmiş oluruz. VHDL derleyicisine bu dosyanın kullanılacağını USE ieee.std_logic_1164.all; direktifi ile bildiririz. STD_LOGIC veri sınıfı içinde kullanılabilen çeşitli değişken değerleri arasında en çok kullanılanlar 0, 1, Z, ve _ dir. Burada Z yüksek direnç değerini _ ise farketmez (don t care) durumunu belirtir. Bunlara ek olarak, STD_LOGIC veri tipleri bütün Bool operatörleri ile kullanılabilir. Böylece, bir VHDL programının ilk iki satırı aşağıdaki gibi olmalıdır: LIBRARY ieee; USE ieee.std_logic_1164.all;

2 Bütün VHDL programları temel olarak iki kısımdan oluşur: ENTITY ve ARCHITECTURE. ENTITY tasarımınızı dışarıdan görünümü itibariyle bir kara kutu olarak tanımlar. Bilgisayarınızı dışardan bakıldığında sadece giriş ve çıkış elemanları yönüyle detalı olarak tanımlamanız iyi bir ENTITY örneğidir. ARCHITECTURE kısmı tasarımınızın iç yapısını kapılar, sinyaller, işlevsel modüller, ve bağlantılar yönüyle tanımladığınız bölümdür. ENTITY ve ARCHITECTURE birlikte tasarımınızı bir sistem olarak hem dışardan bakıldığında giriş-çıkış terminalleri, hemde içeriden bakıldığında detaylı işlevsellik yönlerinden tanımlamanızı mümkün kılarlar. Bir VHDL Kodu Örneği: Library ieee; Use ieee.std_logic_1164.all; ENTITY Tasarım_Adı IS Terminal Tanımları END Tasarım_Adı; ARCHITECTURE Yapısal_Ad OF Tasarım_Adı IS BEGIN Tasarımın Yapısal Tanımlanması END Yapısal_Ad; Deney Çalışması: Aşağıdaki açıklamalar detaylı olarak QUARTUS II ortamında bir projeyi tanımlamanızı ve VHDL dilini kullanarak proje ile ilgili tasarımınızı yazılım ortamına girmenizin adımlarını göstermektedir. VHDL ile Tasarım Girişi Devre şeması girişine bir allternatif olarak, VHDL tasarım tanımlama ve girişi için oldukça etkili bir yol olarak kullanılabilir. Büyük boyutlu tasarımlarda, VHDL ile tasarım üretkenliğin artırılmasında ve tasarım zamanının önemli ölçüde kısaltılmasında önemli katkı sağlar. VHDL ile sadeleştirme, bağlantı şeması, devre elemanlarının çoklanması gibi işlemler otomatik derleyici ve sentez araçları tarafından yapılır. Adım 1: Proje Yaratma ve Kod Girişi Yeni bir proje tanımlamak için: [File] [New Project Wizard] seçeneğinden sonra proje yaratmak için önceki deneylerde belirtilen adımları uygulayınız. (Not: Deney #1 de proje yaratma adımlarını gözden geçiriniz)

3 [File] [New] menüsünden VHDL File seçiniz ve [Ok] butonuna tıklayınız. Vhdl1.vhd başlığına çift tıklayarak editör penceresini büyütünüz. [File]->[Save As] seçeneğiyle dosyanıza Ilk_Devrem adını veriniz ve [Save] ile kaydediniz.

4 VHDL kodu yazacağınız devre şeması: Bu devre ile ilgili olarak aşağıdaki VHDL kodunu editör pencersine. giriniz. library ieee; use ieee.std_logic_1164.all; entity Ilk_Devrem is port( a, b,c,d: in std_logic; y: out std_logic); end Ilk_Devrem;

5 architecture Islevsel of Ilk_Devrem is signal s1,s2: std_logic; begin s1 <= a nor b; s2 <= c nand d; y <= s1 and s2; end Islevsel; Adım 2. Projenizin Derlenmesi: 1. Processing Start Compilation seçeneğiyle projenizi derleyiniz. 2. Derleme sonunda Full compilation was successful mesajını görmelisiniz. Bu derlemenin başarılı olduğunu ifade eder. 3. Projeniz 0 hata ile sonlanmalıdır. Eğer hatalar var ise, yazdığınız kodu kontrol ederek bu hataları düzeltiniz. 4. Başarılı bir derlemenin sonunda aşağıdaki ekranı gözlemlemelisiniz. Bu durumda derleme pençeresini kapatınız.

6 Timing Analyzer menüsünden Timing Analyzer Summary seçeneğine tıklayınız. Bu seçenekten the worst-case tpd (giriş-çıkış sinyal geçikmesi) işlevini inceleyiniz. Adım 3: Projenizin Benzetimi (Simulasyonu): Bir sayısal devreyi iki şekilde simule edebilirsiniz: En basit yol, devre elemanlarının ve bağlantıların ideal olduğunu kabul ederek işlevsel simülasyon yapmaktır. Bu şekilde devre elemanları ve bağlantılar üzerindeki sinyal geçikmelerini dikkate almadan, devrenin işlevsel doğruluğunu test etmiş oluruz. Bu yaklaşıma işlevsel simülasyon denir. Biraz daha karmaşık olan ikinci yol, bütün sinyal geçikmelerinin dikkate alınarak yapıldığı simülasyondur ve zamanlama simülasyonu olarak adlandırılır. Genel olarak, işlevsel smülasyon çok daha kısa zaman alır. Bu deney çalışmasında da işlevsel simülasyon üzerinde duracağız. 1. File New Other Files Vector Waveform File menüsünü seçerek seçeneğine tıklayınız. 2. Ana menüden Edit Insert Node or Bus seçimini yapınız. 3. Node Finder seçeneğini tıklayınız. 4. Pins: all seçeneğini yapıp Start butonunu tıklayınız. 5. Nodes Found listesinden A, B, C, D ve Y isimlerini seçiniz. 6. Sağ ok butonuna tıklayarak A, B, C, D, ve Y seçimlerini Selected Nodes bölümüne aktarınız. 7. OK seçeneklerini tıklayınız 8. Fare ile hareket ettirerek seçtiğiniz isimleri istediğiniz sıraya sokabilirsiniz. Dalga formü editör penceresi 9. Simulasyon zaman aralığını 0.0ns ve 160.0ns olarak belirleyiniz. Bunun için Edit End Time menüsünden ns olarak giriniz. 10. View Fit in Window seçimiyle tüm simülasyon aralığını ekrana yansıtınız. 11. Click on A and from the side bar, press Overwrite clock button 11. A sinyali üzerine tıklayınız, Overwrite clock butonuna tıklayarak bu sinyalin periyodu için 20.0 ns giriniz. 12. Aynı işlemi B için : 40.0 ns, C için : 80.0 ns, ve D için : ns olarak tekrarlayınız.

7 Waveform Editor Window with Inputs 13. Assignments Settings seçeneğiyle bir seçim pençeresi açınız. Bu pençereden Simulations Settings seçeneği altında Mode seçimi ile simulasyon modunu Functional olarak değiştiriniz 14. File Save seçeneğine gidiniz 15. Şimdi dosyanınzın ismini projenizin ismiyle aynı bırakarak, OK seçeneğiyle dosyanızı saklayınız. 16. Ana menüden Processing Generate Functional Simulation Netlist seçeneğini yapınız. 17. Ana menüden Processing Start Simulation seçimini yapınız. 18. Simülasyon tamamlandığında, diyalog kutusundaki OK seçeneğini tıklayınız. 19. View Fit in Window seçimiyle simülasyonun tamamını ekrana yansıtınız. 20. Elde ettiğiniz doğruluk değerlerini doğruluk tablosundakilerle karşılaştırarak devrenin doğru çalıştığından emin olunuz. BAŞARILAR. Adnan ACAN

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI DENEY II: QUARTUS II TASARIM ORTAMINA VE VERILOG PROGRAMLAMA İLE TASARIMA GİRİŞ Amaçlar: Bu deneyde ALTERA

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI DENEY I: QUARTUS II TASARIM ORTAMINA GİRİŞ VE VHDL TEMELLERİNİ TANIMA Amaçlar: Bu deneyde ALTERA tarafından

Detaylı

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER PROJE OLUŞTURMA ŞEMATİK DOSYASI OLUŞTURULMASI VERILOG DOSYASI OLUŞTURULMASI TEST DOSYASI OLUŞTURULMASI XILINX ISE SIMULATOR İLE BENZETİM YAPILMASI PİN

Detaylı

LAB 0 : Xilinx ISE Kullanımı

LAB 0 : Xilinx ISE Kullanımı LAB 0 : Xilinx ISE Kullanımı 1. Proje Yaratma a. Xilinx ISE programını açınız. b. File à New Project menüsünü seçiniz. New Project Wizard diyalog penceresi açılacaktır. c. New Project Wizard diyalog penceresinde

Detaylı

Flash ile Etkileşimli Öğretim Materyali Hazırlama Semineri

Flash ile Etkileşimli Öğretim Materyali Hazırlama Semineri Öğretim Teknolojileri Destek Ofisi Instructional Technologies Support Office Flash ile Etkileşimli Öğretim Materyali Hazırlama Semineri Semboller, Ses Ekleme, Video Ekleme Orta Doğu Teknik Üniversitesi

Detaylı

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Altera fpga kartları için derleyici programı Quartus tur. Aşağıdaki linkten quartus programı indirilebilir; https://www.altera.com/download/dnl-index.jsp

Detaylı

Programlanabilir Devreler

Programlanabilir Devreler Programlanabilir Devreler Testbench & Simülasyon İçerik Tasarlamış olduğumuz sayısal sistemlerin fonksiyonel olarak istenildiği gibi gerçekleştirdiğini doğrulamak gerekir. Verilog ve VHDL gibi donanım

Detaylı

MPLAB IDE v7.60 PROGRAMI KULLANIMI

MPLAB IDE v7.60 PROGRAMI KULLANIMI MPLAB IDE v7.60 PROGRAMI KULLANIMI MPLAB IDE programı mikroişlemciler için hazırlanmış bir derleyici programdır. Microchip firması tarafından hazırlanmıştır. (Resim 1) MPLAB programı assembly dilinde simulasyon,

Detaylı

MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK

MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK 1.1 Programın Başlatılması 1.2 Yeni Proje Oluşturma 1.3 MCU Seçimi Yrd.Doç.Dr.Bülent Çobanoğlu 1.4 MCU Programlama Dil Seçimi 1.5 Proje İsmi

Detaylı

VHOPE ve VHOPE kitaplık dosyalarını kurma

VHOPE ve VHOPE kitaplık dosyalarını kurma VHOPE ve VHOPE kitaplık dosyalarını kurma Adım 1, VHOPE'yi kurma Bu USB sürücüsündeki sunum materyalini kullanabilmeniz için öncelikle VHOPE uygulamasının bilgisayarınıza yüklenmesi gerekir. Volvo Kurumsal

Detaylı

HESAP PLANI DEĞİŞİKLİĞİ

HESAP PLANI DEĞİŞİKLİĞİ HESAP PLANI DEĞİŞİKLİĞİ Hesap Planı, önceden fiş içerisinde ve diğer alanlarda (hesap kodu tanımlanması gereken alanlar) ekranın üst tarafına otomatik geliyor veya Alt+3 tuş kombinasyonu ile görüntüleniyordu.

Detaylı

OPNET PROJECT EDİTÖRDE. Doç. Dr. Cüneyt BAYILMIŞ

OPNET PROJECT EDİTÖRDE. Doç. Dr. Cüneyt BAYILMIŞ BSM 532 KABLOSUZ AĞLARIN MODELLEMESİ VE ANALİZİ OPNET PROJECT EDİTÖRDE UYGULAMA GELİŞTİRME - 1 - Doç. Dr. Cüneyt BAYILMIŞ 1 OPNET MODELER PROJE EDİTÖRDE UYGULAMA GELİŞTİRME KABLOSUZ AĞ KURULUMU AD-HOC

Detaylı

VHDL. Ece Olcay Güneş & S. Berna Örs

VHDL. Ece Olcay Güneş & S. Berna Örs VHDL Ece Olcay Güneş & S. Berna Örs Giriş VHDL VHSIC Hardware Description Language in kısaltmasıdır. VHSIC Very High Speed Integrated Circuit in kısaltmasıdır. VHDL dışında da pekçok donanım tasarlama

Detaylı

CAPTURE Capture kısmını açtığımızda karşımıza Capture session frame gelir (Şekil 4.1.).

CAPTURE Capture kısmını açtığımızda karşımıza Capture session frame gelir (Şekil 4.1.). BÖLÜM 4 CAPTURE Capture kısmını açtığımızda karşımıza Capture session frame gelir (Şekil 4.1.). Şekil 4.1 Capture Ortamı Genel Yüzeyi Bütün şematik dizayn ve işlemler bu pencerede (capture window) yapılacaktır.

Detaylı

Teknik Doküman. Şekil 01. Şekil 02

Teknik Doküman. Şekil 01. Şekil 02 Teknik Doküman Teknik Doküman Numarası: 10740 Yayım Tarihi: 03.02.2006 Ürün: Autodesk Revit 7-9 Konu: 3B AutoCAD ve 3ds MAX nesnelerinin Revit e alınması Tür: Öğretici Revizyon No: 0 Revizyon Tarihi: Autodesk

Detaylı

Data Structures Lab 1 2012-Güz

Data Structures Lab 1 2012-Güz Data Structures Lab 1 2012-Güz C# Ortamı Tanıtımı ve Uygulama Geliştirilmesi Visual Studio 2010 Ortamının Temini -NYG'ndan MSDN üyeliği için başvuru dilekçesi alınıp doldurulmalı ve yine NYG'ye teslim

Detaylı

BİLGİSAYAR DESTEKLİ TASARIM II

BİLGİSAYAR DESTEKLİ TASARIM II 0 BÖLÜM 1 ORCAD PROGRAMINA GİRİŞ: OR-CAD programını başlatmak için Başlat menüsünden programlara gelinir. Programların içerisinde ORCAD Release 9 ve bunun içerisinden de ORCAD Capture seçilir. Karşımıza

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #5 16 Bitlik R Tipi İçin ALTERA MAX-PLUS-II VHDL de Tek Saat Veri Yolu Birimi 1.Giriş Bu deneyde

Detaylı

T.C. RC SERVO MOTOR KONTROLÜ

T.C. RC SERVO MOTOR KONTROLÜ T.C. BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ RC SERVO MOTOR KONTROLÜ İBRAHİM ALİ METİN BİLECİK 30 Mart 2015 T.C. BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ MÜHENDİSLİK

Detaylı

OMNET++ 4.2.2. Ağ Benzetim Yazılımı (Network Simulation Framework) BİL 372 Bilgisayar Ağları. GYTE - Bilgisayar Mühendisliği Bölümü

OMNET++ 4.2.2. Ağ Benzetim Yazılımı (Network Simulation Framework) BİL 372 Bilgisayar Ağları. GYTE - Bilgisayar Mühendisliği Bölümü Bilgisayar Mühendisliği Bölümü OMNET++ 4.2.2 Ağ Benzetim Yazılımı (Network Simulation Framework) BİL 372 Bilgisayar Ağları OMNET++ OMNET++ (Objective Modular Network Testbed in C++), nesneye yönelik (objectoriented)

Detaylı

Access Point Mod Kurulumu

Access Point Mod Kurulumu Access Point Mod Kurulumu A. Kurulum Öncesi 1. Statik IP Tanımlama Cihazın ayarlarını yapılandırabilmeniz için cihazda varsayılan olarak tanımlı IP adresi (ör:192.168.1.254) ile aynı network bloğundan

Detaylı

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz.

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz. Girilen iki sayının birbiriyle karşılaştırılıp sonucunda büyük, küçük veya eşit sinyallerinin verileceği bir programı VHDL dili ile yazınız. A : karşılaştırılacak 1.sayıdır. 8 bitlik giriştir. B : karşılaştırılacak

Detaylı

SİMULİNK KULLANIMI: Simulink'i çalıştırmak için MATLAB komut satırında simulink yazıyoruz.karşımıza Simulink Kütüphanesi çıkacaktır:

SİMULİNK KULLANIMI: Simulink'i çalıştırmak için MATLAB komut satırında simulink yazıyoruz.karşımıza Simulink Kütüphanesi çıkacaktır: SİMULİNK DERSLERİ SİMULİNK KULLANIMI: Model Oluşturmak : Bu örnekte Simulink'te bir modeli nasıl oluşturup simule edeceğimizi göreceğiz. Bu modelde bir sinüs dalgasını ve integralini birleştirerek sonucu

Detaylı

Proje #2 - Lojik Devre Benzetimi

Proje #2 - Lojik Devre Benzetimi Kocaeli Universitesi Bilgisayar Mühendisliği Programlama Laboratuvarı I BLM 209 Proje #2 - Lojik Devre Benzetimi Dosya Operasyonları Üzerine Uygulama Geliştirme Arş. Gör. Süleyman Eken & Arş. Gör. Furkan

Detaylı

Digital Design HDL. Dr. Cahit Karakuş, February-2018

Digital Design HDL. Dr. Cahit Karakuş, February-2018 Digital Design HDL Dr. Cahit Karakuş, February-2018 NOT, AND, and OR Gates NAND and NOR Gates DeMorgan s Theorem Exclusive-OR (XOR) Gate Multiple-input Gates Basic Logic Gates and Basic Digital Design

Detaylı

MT4 Platformu Kullanıcı Kılavuzu

MT4 Platformu Kullanıcı Kılavuzu MT4 Platformu Kullanıcı Kılavuzu OCAK 2012 PLATFORMUN BAŞLATILMASI Program indirme linki: http://www.gedik.com/liveupdate/gedikforex4setup.zip Program çift tıklayarak başlatılır. Açılan pencere İleri butonu

Detaylı

Unitech PA 690 ve Unitech PA 692 El Terminallerinde Bluetooth Bağlantısı Yapmak

Unitech PA 690 ve Unitech PA 692 El Terminallerinde Bluetooth Bağlantısı Yapmak Unitech PA 690 ve Unitech PA 692 El Terminallerinde Bluetooth Bağlantısı Yapmak I) Bluetooth Özelliğini Aktif Etmek El terminalinde Bluetooth bağlantısı yapmak için aşağıdaki adımları uygulayınız. El terminalinde

Detaylı

ZEBEX 107X EL TERMİNALLERİNE FIRMWARE YÜKLEME

ZEBEX 107X EL TERMİNALLERİNE FIRMWARE YÜKLEME ZEBEX 107X EL TERMİNALLERİNE FIRMWARE YÜKLEME Firmware Nedir. En basit tanımlama ile elektronik bir cihazın herhangi bir yongasında (chip) bulunan yazılımlara (programlara) firmware denilir. Firmware,

Detaylı

Adım Motoru: açıya adım. Şekil 8.2 tekyönlü. Lab 8. Siyah (A) Mavi ( B ) Kırmızı (B)

Adım Motoru: açıya adım. Şekil 8.2 tekyönlü. Lab 8. Siyah (A) Mavi ( B ) Kırmızı (B) 446 GÖMÜLÜ SİSTEM TASARIMI Adım Motoru 8.1 Amaç Bu laboratuvarda LauchPad a dışarıdan bağlanacak adım motorunun dönme yönünü ve hızını kontrol eden programın yazılımı verilecektir. 8.2 Gerekli Malzeme

Detaylı

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur.

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur. HDL Dilleri HDL(Donanım Tanımlama Dili); tasarımın, HDL dillerinden her hangi bir tanesinin kullanılarak yapılmasıdır. HDL bir donanım parçasını modellemek için kullanılan yazılım dilidir. VHDL ile Verilog

Detaylı

MATRİKS VERİ TERMİNALİ GELİŞMİŞ ALARM

MATRİKS VERİ TERMİNALİ GELİŞMİŞ ALARM MATRİKS VERİ TERMİNALİ GELİŞMİŞ ALARM Versiyon 7.0.8 1.12.2013 Matriks Bilgi Dağıtım Hizmetleri A.Ş. MATRİKS VERİ TERMİNALİ GELİŞMİŞ ALARM İçindekiler 1. İlk Bilgiler... 2 2. Sekmeler (Alarm Tanımlama

Detaylı

Merkezi İçerik Yönetim Sistemi Yardım Dokümanı (V1)

Merkezi İçerik Yönetim Sistemi Yardım Dokümanı (V1) Merkezi İçerik Yönetim Sistemi Yardım Dokümanı (V1) Bilgi İşlem Daire Başkanlığı Aralık 2011 İçindekiler 1. Birim web sayfası içerik editörüne bağlanma işlemi... 4 2. İçerik Oluşturma... 5 2.1. Sayfa Ekle...

Detaylı

Havuz Modelleme. Bina Tasarım Sistemi. www.probina.com.tr. Prota Yazılım Ltd. Şti.

Havuz Modelleme. Bina Tasarım Sistemi. www.probina.com.tr. Prota Yazılım Ltd. Şti. Bina Tasarım Sistemi Havuz Modelleme [ Probina Orion Bina Tasarım Sistemi, betonarme bina sistemlerinin analizini ve tasarımını gerçekleştirerek tüm detay çizimlerini otomatik olarak hazırlayan bütünleşik

Detaylı

ÖĞRENME FAALİYETİ 1 ÖĞRENME FAALİYETİ 1

ÖĞRENME FAALİYETİ 1 ÖĞRENME FAALİYETİ 1 ÖĞRENME FAALİYETİ 1 ÖĞRENME FAALİYETİ 1 AMAÇ Bilgisayar ve elektronik tablolama yazılımı sağlandığında elektronik tablolama yazılımı çalışma alanı düzenlemelerini yapabileceksiniz. ARAŞTIRMA Güncel olarak

Detaylı

2. SCADA PROGRAMI. TEOS' un size sunduğu bir çok hizmet içerisinde en önemlilerini şöyle sıralayabiliriz:

2. SCADA PROGRAMI. TEOS' un size sunduğu bir çok hizmet içerisinde en önemlilerini şöyle sıralayabiliriz: 2. SCADA PROGRAMI Bu bölümde ülkemizde sıklıkla kullanılmaya başlayan, ülkemiz mühendislerince geliştirilmiş bir scada yazılım programı olan TEOS SCADA programı hakkında bilgiler vereceğiz. TEOS SCADA

Detaylı

YIL SONU DEVİR İŞLEMİ 2010-2011

YIL SONU DEVİR İŞLEMİ 2010-2011 YIL SONU DEVİR İŞLEMİ 2010-2011 1 Devir işlemlerine başlamadan önce mutlaka programınızın güncel versiyonu kurulmalıdır. Program güncellemelerini www.bilnex.com.tr adresinden takip edebilir, indirebilirsiniz,

Detaylı

Ecza Depolarına Ait E-Fatura Aktarım Modülü

Ecza Depolarına Ait E-Fatura Aktarım Modülü Bilge Elektronik Ltd. Şti. Eczanem Otomasyon Sistemi Ecza Depolarına Ait E-Fatura Aktarım Modülü 1 1. SELÇUK/AS/NEVZAT/DİLEK Ecza Depoları E-Fatura Aktarımı.. 3 2. HEDEF Ecza Deposu E-Fatura Aktarımı..

Detaylı

CAEeda ÇÖZÜMÜ YAPILMIŞ NACA 0012 KANADI İÇİN 2B ÇİZİM EĞİTİM NOTU. EDA Tasarım Analiz Mühendislik

CAEeda ÇÖZÜMÜ YAPILMIŞ NACA 0012 KANADI İÇİN 2B ÇİZİM EĞİTİM NOTU. EDA Tasarım Analiz Mühendislik CAEeda TM ÇÖZÜMÜ YAPILMIŞ NACA 0012 KANADI İÇİN 2B ÇİZİM EĞİTİM NOTU EDA Tasarım Analiz Mühendislik 1. Kapsam Çözümü yapılmış *.pos.edf dosyasında bulunan çözümağını al. Sonlu eleman modeli üzerinde bulunan

Detaylı

EKLEME SORGUSU. 2) ornekveritabani.accdb isimli veritabanınızı çift tıklayarak açınız. Sorarsa, İçeriği Etkinleştir komutunu uygulayınız.

EKLEME SORGUSU. 2) ornekveritabani.accdb isimli veritabanınızı çift tıklayarak açınız. Sorarsa, İçeriği Etkinleştir komutunu uygulayınız. EKLEME SORGUSU 1) Web sayfasından ornekveritabani.mdb isimli dosyayı Masaüstü ne indiriniz çift tıklayarak açınız ve DOSYA > Veritabanını Farklı Kaydet komutunu uygulayarak Masaüstü ne ornekveritabani.accdb

Detaylı

VERİ TABANI NEDİR A. TABLO OLUŞTURMA

VERİ TABANI NEDİR A. TABLO OLUŞTURMA VERİ TABANI NEDİR Belli bir amaca dayalı ortak alanlara (ad soyad, ürün adı, fiyatı gibi) sahip kişilerin ve nesnelerin bilgilerinin tutulduğu, istendiğinde bu bilgiler için arama, düzeltme, silme, kayıt

Detaylı

PSPICE Đ NASIL KULLANIRIM

PSPICE Đ NASIL KULLANIRIM PSPICE Đ NASIL KULLANIRIM 1. File New Project e tıklayalım. 2. Create a blank project e tıklayıp OK diyelim. 1 Yeni bir şematik otomatik olarak açılır. Ekranın sağ tarafında Tool Panel vardır. Devre elemanlarını,

Detaylı

BU CİHAZ BİLGİSAYAR BAĞLANTILI SİSTEM OLMAYIP, BAĞLI OLDUĞU BİLGİSAYAR İLE DEVAMLI İLETİŞİM YAPMAMAKTADIR. Mali Onaylı Yazarkasa

BU CİHAZ BİLGİSAYAR BAĞLANTILI SİSTEM OLMAYIP, BAĞLI OLDUĞU BİLGİSAYAR İLE DEVAMLI İLETİŞİM YAPMAMAKTADIR. Mali Onaylı Yazarkasa Hugin ALPHA Alpha ER-425TX ER-350N BU CİHAZ BİLGİSAYAR BAĞLANTILI SİSTEM OLMAYIP, BAĞLI OLDUĞU BİLGİSAYAR İLE DEVAMLI İLETİŞİM YAPMAMAKTADIR. Mali Onaylı Yazarkasa 1 İÇİNDEKİLER İÇİNDEKİLER... 2 Bilgisayar

Detaylı

NicProxy Registrar AWBS Modül Kurulumu Versiyon 1.0

NicProxy Registrar AWBS Modül Kurulumu Versiyon 1.0 NicProxy Registrar AWBS Modül Kurulumu Versiyon 1.0 Tescil Bilgisi 2009 NicProxy. Tüm Hakları Saklıdır. Bu belge, NicProxy mülkiyetinde ve NicProxy e ait özel bilgiler içermektedir. NicProxy yetkili temsilcisinin

Detaylı

ZWCAD 2010. İçindekiler. Önemli. Tek Kullanıcı Sürümü Lisans Kodu Kullanarak Yükleme Kılavuzu

ZWCAD 2010. İçindekiler. Önemli. Tek Kullanıcı Sürümü Lisans Kodu Kullanarak Yükleme Kılavuzu ZWCAD 2010 Tek Kullanıcı Sürümü Lisans Kodu Kullanarak Yükleme Kılavuzu İçindekiler ZWCAD 2010 Paketi İçeriği... 2 Sistem Gereksinimi... 2 ZWCAD 2010 Yüklemek ZWCAD 2010 programını Tek Kullanıcı için yüklemek...

Detaylı

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL Bu dönemki Bil264L dersinde kullanacağımız Xilinx ISE Web 14.7 programında nasıl proje oluşturacağımız, oluşturduğumuz devreleri nasıl test edeceğimiz ve bu devreleri

Detaylı

BÖLÜM 11. Montaj Konfigürasyonları

BÖLÜM 11. Montaj Konfigürasyonları BÖLÜM 11 Montaj Konfigürasyonları Autodesk Inventor 11 Tanıtma ve Kullanma Kılavuzu SAYISAL GRAFİK Montaj Konfigürasyonları ve Akıllı Montajlar (iassemblies) Montaj konfigürasyonları ile tek bir montaj

Detaylı

HSancak Nesne Tabanlı Programlama I Ders Notları

HSancak Nesne Tabanlı Programlama I Ders Notları Konsol Uygulaması Oluşturma Konsol uygulaması oluşturmak için program açıldıktan sonra Create: Project ya da New Project seçeneği tıklanabilir. New Project penceresini açmak için farklı yollar da vardır.

Detaylı

Havadan Suya Isı Pompası Seçim Programı / ver.1.4

Havadan Suya Isı Pompası Seçim Programı / ver.1.4 Havadan Suya Isı Pompası Seçim Programı / ver.1.4 (Kullanma Klavuzu) Mart, 2011 1 İçindekiler 1. Hazırlık (Programın yüklenmesi) 2.Programın Kullanılması 3.Örnek çalışma 3-1. Enerji hesaplaması 3-2. Sonuç

Detaylı

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL Bu dönemki Bil264L dersinde kullanacağımız Xilinx ISE Web 14.7 programında nasıl proje oluşturacağımız, oluşturduğumuz devreleri nasıl test edeceğimiz ve bu devreleri

Detaylı

Swansoft Fanuc OiT Kullanımı

Swansoft Fanuc OiT Kullanımı CNC Torna ve Frezede gerçek simülasyon yapılabilir. 50 den fazla farklı Kontrol Sistemi, 150 nin üzerinde ünite. Alt Programlama ve Delik çevrimleri dahil Manuel programlama Değişken parametrelerle Macro

Detaylı

T.C. istanbul ÜNiVERSiTESi ÖĞRENCi BiLGi SiSTEMi. ÖĞRETiM ELEMANI KULLANIM KILAVUZU

T.C. istanbul ÜNiVERSiTESi ÖĞRENCi BiLGi SiSTEMi. ÖĞRETiM ELEMANI KULLANIM KILAVUZU T.C. istanbul ÜNiVERSiTESi ÖĞRENCi BiLGi SiSTEMi ÖĞRETiM ELEMANI KULLANIM KILAVUZU 1 1. Sisteme Giriş Nokta Üniversite Otomasyonu sistemini kullanabilmek için öncelikle Windows işletim sisteminde bulunan

Detaylı

Universal Repeater Mod Kurulumu

Universal Repeater Mod Kurulumu Universal Repeater Mod Kurulumu A. Kurulum Öncesi 1. Statik IP Tanımlama Cihazın ayarlarını yapılandırabilmeniz için cihazda varsayılan olarak tanımlı IP adresi (ör:192.168.1.254) ile aynı network bloğundan

Detaylı

TL-WPS510U PRINT SERVER KURULUM DÖKÜMANI

TL-WPS510U PRINT SERVER KURULUM DÖKÜMANI TL-WPS510U PRINT SERVER KURULUM DÖKÜMANI 1 Başlarken Satın almış olduğunuz TL-WPS510U nun kullanım diagramı aşağıdaki gibidir. Cihazınızı bir ağ yazıcısı değilse veya kablolu ağ yazıcınızı kablosuz olarak

Detaylı

10.2 VERİYONUNUN KURULABİLMESİ İÇİN EĞER VARSA ÖNCEKİ. ArcGIS SÜRÜMLERİNİN PROGRAM EKLE-KALDIR SEÇENEĞİYLE

10.2 VERİYONUNUN KURULABİLMESİ İÇİN EĞER VARSA ÖNCEKİ. ArcGIS SÜRÜMLERİNİN PROGRAM EKLE-KALDIR SEÇENEĞİYLE 10.2 VERİYONUNUN KURULABİLMESİ İÇİN EĞER VARSA ÖNCEKİ ArcGIS SÜRÜMLERİNİN PROGRAM EKLE-KALDIR SEÇENEĞİYLE YADA BİR PROGRAM SİLME ARACIYLA SİLİNMESİ GEREKMEKTEDİR. PROGRAMIN İNDİRİLMESİ İki aylık deneme

Detaylı

Speedy PDKS Kullanım. Kılavuzu

Speedy PDKS Kullanım. Kılavuzu Speedy PDKS Kullanım Kılavuzu 1-Kullanıcı Tanımlama......4 2-Departman Tanımlama...........15 3-Kullanıcıları Belli Gruplara Göre Yetkilendirme.. 18 4-Çalışma Tipi ve Hak Ediş Tipi Tanımlama.......23 5-PDKS

Detaylı

Kumanda ve ProgDVB için Kolay Kurulum

Kumanda ve ProgDVB için Kolay Kurulum Kumanda ve ProgDVB için Kolay Kurulum 1. Bilgisayarınızın C:\ Sürücüsündeki Program Files klasörüne Winlirc adında bir klasör oluşturun. SkyStar3 sürücü CD si içindeki 05 REMOTE KONTROL klasöründe WinLirc-0.6.5.zip

Detaylı

KOLAY SİPARİŞ TAKİBİ v4

KOLAY SİPARİŞ TAKİBİ v4 KOLAY SİPARİŞ TAKİBİ v4 HIZLI BAŞLANGIÇ KILAVUZU Bu dokümanda programı çok kısa sürede kullanmaya başlayabilmeniz için gerekli olan birkaç parametre ayarın nasıl yapılacağı tarif edilecektir. Çalışanlarınızın

Detaylı

MT4 Platformu u Kullanıcı Kılavuzu ARALIK 2011

MT4 Platformu u Kullanıcı Kılavuzu ARALIK 2011 MT4 Platformu u Kullanıcı Kılavuzu ARALIK 2011 Platformun Başlat latılması Program indirme linki: http://www.gedik.com/liveupdate liveupdate/gedikforex4setup. /gedikforex4setup.zip Program çift tıklayarak

Detaylı

10 ADIMDA KR AKADEMİ DVD İZLEME PROGRAMI KULLANIM KLAVUZU

10 ADIMDA KR AKADEMİ DVD İZLEME PROGRAMI KULLANIM KLAVUZU 10 ADIMDA KR AKADEMİ DVD İZLEME PROGRAMI KULLANIM KLAVUZU 1. Adım (Program Kurulumu Başlatma): KR Akademi KPSS DVD Setiniz içinde yer alan derslerden herhangi birinin 1. DVD sini DVD-ROM a takıp, DVD yi

Detaylı

HACETTEPE ÜNİVERSİTESİ

HACETTEPE ÜNİVERSİTESİ Sayın Bologna Birim Sorumlusu, HACETTEPE ÜNİVERSİTESİ EĞİTİM PROGRAMLARI BİLGİ YÖNETİM SİSTEMİ KULLANIM KILAVUZU Bu kılavuz Üniversitemizde Bologna Sürecine uyum çalışmaları kapsamında hazırlanan Program

Detaylı

1. GİRİŞ Kılavuzun amacı. Bu bölümde;

1. GİRİŞ Kılavuzun amacı. Bu bölümde; 1. GİRİŞ Bu bölümde; Kılavuzun amacı EViews Yardım EViews Temelleri ve Nesneleri EViews ta Matematiksel İfadeler EViews Ana Ekranındaki Alanlar 1.1. Kılavuzun amacı Ekonometri A. H. Studenmund tarafından

Detaylı

BÖLÜM 23 TD 200... F8 F4 SHIFT ESC ENTER M1.7 M1.6 M1.5 M1.4 M1.3 M1.2 M1.1 M1.0 F8 F7 F6 F5 F4 F3 F2 F1. Shift + F1

BÖLÜM 23 TD 200... F8 F4 SHIFT ESC ENTER M1.7 M1.6 M1.5 M1.4 M1.3 M1.2 M1.1 M1.0 F8 F7 F6 F5 F4 F3 F2 F1. Shift + F1 BÖLÜM 23 231 -TD 200 ( OPERATÖR PANEL) KULLANIMI TD 200 operatör paneli; PLC' ye mesaj göndermek, PLC' de daha önce yüklenmiş olan mesajları almak, analog işlemli projelerde ısı, nem, gaz, ışık gibi değerleri

Detaylı

SERNET ET232CAS x2 RS232 Seri Kanal Sunucu KULLANICI KILAVUZU. Telif Hakkı Uyarısı. >>> Otomasyon Ürünleri www.argenom.com

SERNET ET232CAS x2 RS232 Seri Kanal Sunucu KULLANICI KILAVUZU. Telif Hakkı Uyarısı. >>> Otomasyon Ürünleri www.argenom.com SERNET ET232CAS x2 RS232 Seri Kanal Sunucu KULLANICI KILAVUZU Telif Hakkı Uyarısı Bu doküman Argenom Elektronik tarafından hazırlanmıştır. Tüm hakları saklıdır. SERNET tescilli bir Argenom Elektronik markasıdır.

Detaylı

SERNET ET232CAS x2 RS232 Seri Kanal Sunucu KULLANICI KILAVUZU. Telif Hakkı Uyarısı. >>> Otomasyon Ürünleri www.argenom.com

SERNET ET232CAS x2 RS232 Seri Kanal Sunucu KULLANICI KILAVUZU. Telif Hakkı Uyarısı. >>> Otomasyon Ürünleri www.argenom.com SERNET ET232CAS x2 RS232 Seri Kanal Sunucu KULLANICI KILAVUZU Telif Hakkı Uyarısı Bu doküman Argenom Elektronik tarafından hazırlanmıştır. Tüm hakları saklıdır. SERNET tescilli bir Argenom Elektronik markasıdır.

Detaylı

FortiGate Sertifika Yönetimi. v4.00-build0637-2013/02

FortiGate Sertifika Yönetimi. v4.00-build0637-2013/02 Sertifika Yönetimi v4.00-build0637-2013/02 0 FortiGate (Admin Web Erişimi) Sertifikalı Erişim Datasheet FortiGate Cihazında Sertifika İsteği Oluşturmak FortiGate cihazınızın web arayüzünden System > Certificates

Detaylı

Animasyon Teknikleri, Ses ve Video İşlemleri 1 / 18

Animasyon Teknikleri, Ses ve Video İşlemleri 1 / 18 Animasyon Teknikleri, Ses ve Video İşlemleri 1 / 18 4. SEMBOLLER Animasyon yazılımı çizilen şekilleri veya çalışma içerisine aktarılan şekilleri sembollere dönüştürerek kütüphanede saklayabilir. Kütüphanede

Detaylı

Smartnet PRO-W Kullanım Kılavuzu

Smartnet PRO-W Kullanım Kılavuzu Sayfa 1 / 22 Kutu Đçeriği 1-) Smartnet PRO-W Kablosuz Adsl2+ 1Port Modem 2-) Güç adaptörü 3-) Sesli görüntülü interaktif kurulum CD si 4-) Türkçe Kulanım kitapçığı ve Garanti Belgesi 5-) Ayırıcı Splitter.

Detaylı

Bİ L 131 Hafta 2. 1) Bilgisayara Java SE Development Kit 7 kurulması

Bİ L 131 Hafta 2. 1) Bilgisayara Java SE Development Kit 7 kurulması Bİ L 131 Hafta 2 1) Bilgisayara Java SE Development Kit 7 kurulması Bunun için internet tarayıcınızı (örneğin Mozilla Firefox, Google Chrome veya Internet Explorer) açınız ve http://www.oracle.com/technetwork/java/javase/downloads/jdk7-downloads-1880260.html

Detaylı

Uzaktan kumanda ve alıcı programlama

Uzaktan kumanda ve alıcı programlama O - BOX PC Software Uzaktan kumanda ve alıcı programlama PC ekran görüntüsü A ) ON/OFF butonu (2 sn basılı tutun). B ) Kumanda yükleme/okuma. Kumandayı resimde görüldüğü şekilde O-Box üzerine yerleştirin.

Detaylı

SERNET ET485CAS x2 RS485/RS422 Seri Kanal Sunucu KULLANICI KILAVUZU. Telif Hakkı Uyarısı. >>> Otomasyon Ürünleri

SERNET ET485CAS x2 RS485/RS422 Seri Kanal Sunucu KULLANICI KILAVUZU. Telif Hakkı Uyarısı. >>> Otomasyon Ürünleri SERNET ET485CAS x2 RS485/RS422 Seri Kanal Sunucu KULLANICI KILAVUZU Telif Hakkı Uyarısı Bu doküman Argenom Elektronik tarafından hazırlanmıştır. Tüm hakları saklıdır. SERNET tescilli bir Argenom Elektronik

Detaylı

OYAK YATIRIM FX Meta İşlem Platformu Kullanma Kılavuzu

OYAK YATIRIM FX Meta İşlem Platformu Kullanma Kılavuzu İçindekiler: FX Meta İşlem Platformu Kullanma Kılavuzu 1- Demo Hesap Açılışı 2- Genel Görünüm 3- Alım-Satım İşlemleri 4- Stop-Limit İşlemleri 5- Pozisyon Kapatma 6- Grafiklerin Kullanımı 7- Göstergeler

Detaylı

DENEY 5: PSPICE İLE DEVRE ANALİZİ

DENEY 5: PSPICE İLE DEVRE ANALİZİ A. DENEYİN AMACI : PSPICE programı ile devre analizi yapmayı öğrenmek. Hesap ve ölçüm ile elde edilen sonuçları simülasyonla doğrulamak. B. KULLANILACAK ARAÇ VE MALZEMELER : 1. PSPICE paket programı. C.

Detaylı

Flow Kullanım Klavuzu Mart 2014

Flow Kullanım Klavuzu Mart 2014 Flow Kullanım Klavuzu Mart 2014 İçindekiler Flow nedir? Bir Flow hesabı oluşturmak Oturum açmak Flow Hesabınız Genel Görünüm Flow Hesabınız Kullanım + Add (ekle butonu) Bibliography (Künye/Atıf butonu)

Detaylı

İSTANBUL ECZACI ODASI BİLGİ İŞLEM BİRİMİ - 2010 -

İSTANBUL ECZACI ODASI BİLGİ İŞLEM BİRİMİ - 2010 - İSTANBUL ECZACI ODASI BİLGİ İŞLEM BİRİMİ - 2010 - İnternet Explorer sayfasını açınız. Adres çubuğuna http://arsiv.ieo.org.tr adresini yazarak klavyeden Enter tuşuna basınız. (1) Açılacak olan sayfadan

Detaylı

CAEeda TM OM6 KANADI MODELLEME. EDA Tasarım Analiz Mühendislik

CAEeda TM OM6 KANADI MODELLEME. EDA Tasarım Analiz Mühendislik CAEeda TM OM6 KANADI MODELLEME EDA Tasarım Analiz Mühendislik 1. Kapsam Kanat Sınırlarını Çizme Taban Kanat Profilinin Hücum ve Firar Kenarları Sınırlarını Çizme Kanat Profilini Dosyadan (.txt) Okuma Geometrik

Detaylı

Bağlı Dosya Oluşturma Uygulaması

Bağlı Dosya Oluşturma Uygulaması Bağlı Dosya Oluşturma Uygulaması Bağlı Dosya Oluşturma Uygulaması İÇİNDEKİLER BAĞLI DOSYA OLUŞTURMA... 3 Bağlı Dosya Oluşturma için Tanımlamalar... 3 Ortak Seçenekler... 3 Klasör Seçenekleri... 3 Kullanıcı

Detaylı

CAPTİVATE İLE ETKİLİ SUNUM HAZIRLAMA ADOBE CAPTİVATE CS5 PROGRAMI DEĞERLENDİRME SORULARI

CAPTİVATE İLE ETKİLİ SUNUM HAZIRLAMA ADOBE CAPTİVATE CS5 PROGRAMI DEĞERLENDİRME SORULARI CAPTİVATE İLE ETKİLİ SUNUM HAZIRLAMA ADOBE CAPTİVATE CS5 PROGRAMI DEĞERLENDİRME SORULARI 1. Captivate programı e-öğrenme içeriklerini hazırlamak için uygun bir programdır? 2. Captivate programında açılan

Detaylı

BÖLÜM 14. Kaynak Tasarım Ortamı

BÖLÜM 14. Kaynak Tasarım Ortamı BÖLÜM 14 Kaynak Tasarım Ortamı Autodesk Inventor 11 Tanıtma ve Kullanma Kılavuzu SAYISAL GRAFİK Kaynak Tasarım Ortamı Kaynak tasarım ortamı, montaj tasarımının bir parçası. Kaynaklı parçaları kaynak tasarım

Detaylı

Scream! e gelen veri akışlarından bazılarını diğer bir kurum yada bilgisayarla paylaşmak için kullanılabilir.

Scream! e gelen veri akışlarından bazılarını diğer bir kurum yada bilgisayarla paylaşmak için kullanılabilir. Eş zamanlı Scream! kullanımı Scream!, sismik ağın güçlü bir parçası olacak şekilde tasarlanmıştır. Varsayılan yapılandırma dosyası scream.ini dir ve ilk kullanım sırasında kendini otomatik olarak oluşturur.

Detaylı

RS-232 ile Seviye Ölçüm Cihazı Kullanım Talimatnamesi

RS-232 ile Seviye Ölçüm Cihazı Kullanım Talimatnamesi RS-232 ile Seviye Ölçüm Cihazı Kullanım Talimatnamesi......... RS - 232 Cihazın ön görüntüsü. +5V -5V NC 0V +5V Ölçüm Cihazın arka görüntüsü. Cihazın ön yüzündeki RS-232 bağlantısı bilgisayarın seri (COM)

Detaylı

E-öğrenme platformu. İçerik

E-öğrenme platformu. İçerik E-öğrenme platformu için AVATAR klavuzu İçerik E-öğrenme platformuna giriş... 3 Kurs Özeti... 3 Kurs Modülleri... 4 Profil Ayarları... 5 Platform içinde gezinme... 6 Takvim... 7 Forum... 8 WIKI... 10 Sohbet...

Detaylı

DS150E Kullanıcı El Kitabı. Dangerfield June. 2009 V3.0 Delphi PSS

DS150E Kullanıcı El Kitabı. Dangerfield June. 2009 V3.0 Delphi PSS DS150E Kullanıcı El Kitabı 1 Ana bileşen...3 Kurulum talimatları...5 Bluetooth Ayarları...26 Arıza Teşhis Programı...39 Dosya...41 Ayarlar...43 Belgeler...51 Yardım...53 OBD İletişimi...56 Yazdır ve Kaydet...66

Detaylı

EAGLE KÜTÜPHANE OLUŞTURMA

EAGLE KÜTÜPHANE OLUŞTURMA 1 EAGLE mühendislik EAGLE KÜTÜPHANE OLUŞTURMA Merhaba Arkadaşlar, sizlerle bu yazımda bir PCB-Dizayn programı olan EAGLE da sıfırdan kütüphane oluşturmayı anlatmaya çalışacağım. Eagle bilindiği üzere iki

Detaylı

Kirişte açıklık ortasındaki yer değiştirmeyi bulunuz. Kirişin kendi ağırlığını ihmal ediniz. Modeli aşağıdaki gibi hazırlayınız:

Kirişte açıklık ortasındaki yer değiştirmeyi bulunuz. Kirişin kendi ağırlığını ihmal ediniz. Modeli aşağıdaki gibi hazırlayınız: Problem W Trapez Yüklü Basit Kiriş Çelik E = 29000 ksi Poisson oranı = 0.3 Kiriş = W21X50 Yapılacaklar Kirişte açıklık ortasındaki yer değiştirmeyi bulunuz. Kirişin kendi ağırlığını ihmal ediniz. Modeli

Detaylı

Windows Live ID ve parolanızı giriniz.

Windows Live ID ve parolanızı giriniz. Ücretsiz Deneme Hesabı Oluşturma ve Temel Özelliklerin Kullanım Kılavuzu Bilgi girilmesi gerekli alanlar Kişisel bilgi içeren alanlar http://www.windowsazure.com/tr-tr/pricing/free-trial/ adresine gidiniz

Detaylı

Speed dome kameralarda Otomatik fonksiyonları kullanabilmek için; Kameranın protokol bilgisi önemlidir. KONTROL KOMUTLARI

Speed dome kameralarda Otomatik fonksiyonları kullanabilmek için; Kameranın protokol bilgisi önemlidir. KONTROL KOMUTLARI Speed dome kameralarda Otomatik fonksiyonları kullanabilmek için; Kameranın protokol bilgisi önemlidir. Speed Dome Kamerayı D-MAX protokolünde kullanıyorsanız. 3.PRESET İŞLEMLERİ KONTROL KOMUTLARI A. Preset

Detaylı

CAEeda TM NACA0012 OLUŞTURULAN DÖRTGENE ÇÖZÜMAĞI OLUŞTURMA EĞİTİM NOTU. EDA Tasarım Analiz Mühendislik

CAEeda TM NACA0012 OLUŞTURULAN DÖRTGENE ÇÖZÜMAĞI OLUŞTURMA EĞİTİM NOTU. EDA Tasarım Analiz Mühendislik CAEeda TM NACA0012 OLUŞTURULAN DÖRTGENE ÇÖZÜMAĞI OLUŞTURMA EĞİTİM NOTU EDA Tasarım Analiz Mühendislik KAPSAM Naca 0012 profili kullanılarak oluşturulmuş düzlem geometrisinde çözümağı üretme. MODELLEME

Detaylı

Bu uygulama saatinde, dinamik sistemlerin simülasyonu (benzetimi) için geliştirilmiş olan, oldukça kullanışlı bir arayüz, Simulink, tanıtılacaktır.

Bu uygulama saatinde, dinamik sistemlerin simülasyonu (benzetimi) için geliştirilmiş olan, oldukça kullanışlı bir arayüz, Simulink, tanıtılacaktır. Bu uygulama saatinde, dinamik sistemlerin simülasyonu (benzetimi) için geliştirilmiş olan, oldukça kullanışlı bir arayüz, Simulink, tanıtılacaktır. Simulink bir Grafik Kullanıcı Arayüzü (Graphical User

Detaylı

Resim 1. Access açılış sayfası. Resim 2. Access veri tabanı düzenleme sayfası

Resim 1. Access açılış sayfası. Resim 2. Access veri tabanı düzenleme sayfası ACCESS DERS 1 1. Access Programına Giriş Derslere uygulama üzerinde devam edeceğiz. Uygulama ismimiz İş Takip Sistemi dir. Uygulamada ilerledikçe işleyeceğimiz bölümlerin nasıl kullanıldığını ve ne işe

Detaylı

www.mekatroncnc.com.tr

www.mekatroncnc.com.tr Sayfa 1 Sayfa 2 Sayfa 3 MACH3 PROGRAMI KULLANMA KLAVUZU 1. ADIM: İlk olarak MACH3 MILL programı içerisine giriyoruz ve alttaki ekran karşımıza geliyor.(reset butonun yeşil yanmasına dikkat ediyoruz ve

Detaylı

OPNET IT Guru- Network Design (Ağ Tasarımı)

OPNET IT Guru- Network Design (Ağ Tasarımı) OPNET IT Guru- Network Design (Ağ Tasarımı) Amaç: Bu laboratuvar uygulamasının amacı, kullanıcıları, servisleri ve istemcilerin yerlerini dikkate alarak yapılan bir ağ tasarımının temellerini göstermektir.

Detaylı

OPNET IT Guru-Switched LANs

OPNET IT Guru-Switched LANs Amaç: OPNET IT Guru-Switched LANs Bu laboratuvar uygulaması anahtarlanmış yerel ağlar hakkında fikir edinmek amaçlı tasarlanmıştır. Laboratuvardaki benzetimler (simülasyonlar), anahtar (switch) ve çoklayıcı

Detaylı

TEMEL BİLGİSAYAR. Ders Notları. Yrd. Doç. Dr. Seyit Okan KARA

TEMEL BİLGİSAYAR. Ders Notları. Yrd. Doç. Dr. Seyit Okan KARA TEMEL BİLGİSAYAR Ders Notları Yrd. Doç. Dr. Seyit Okan KARA Pencerenin ortasında bulunan beyaz sayfa, slayt tasarımında kullanacağımız sayfamızdır. Sol panelde bu slayt sayfasının küçültülmüş halde bir

Detaylı

MENÜLER. Powerpoint 2007 de yedi temel menü vardır. Bunlar;

MENÜLER. Powerpoint 2007 de yedi temel menü vardır. Bunlar; MENÜLER Powerpoint 2007 de yedi temel menü vardır. Bunlar; GİRİŞ MENÜSÜ EKLE MENÜSÜ Slayt a görüntü eklemek için; Ekle menüsünden Resim ya da Küçük Resim Örneğin; Atatürk ile ilgili internetten 30 tane

Detaylı

CAEeda TM. NACA0012 KANADI ÜZERİNDE FAPeda ÇÖZÜMÜ UYGULAMASI EĞİTİM NOTU. EDA Tasarım Analiz Mühendislik

CAEeda TM. NACA0012 KANADI ÜZERİNDE FAPeda ÇÖZÜMÜ UYGULAMASI EĞİTİM NOTU. EDA Tasarım Analiz Mühendislik CAEeda TM NACA0012 KANADI ÜZERİNDE FAPeda ÇÖZÜMÜ UYGULAMASI EĞİTİM NOTU EDA Tasarım Analiz Mühendislik 1. Simülasyon Tanımlama Öncesi 1. Yeni bir proje oluşturmak için menü çubuğu üzerinden Dosya > Çözümağı

Detaylı

ZWCAD +2012. Önemli. Tek Kullanıcı Sürümü Lisans Kodu Kullanarak Yükleme Kılavuzu

ZWCAD +2012. Önemli. Tek Kullanıcı Sürümü Lisans Kodu Kullanarak Yükleme Kılavuzu Sayfa - 2 ZWCAD +2012 Tek Kullanıcı Sürümü Lisans Kodu Kullanarak Yükleme Kılavuzu Önemli ZWCAD de aktivasyon işlemini yaptığınızda lisans kodu, yükleme yapılan bilgisayara kilitlenir. Bu sebeple lisans

Detaylı

1. TANIMLAR 1.1 Laboratuvarım

1. TANIMLAR 1.1 Laboratuvarım 1. TANIMLAR 1.1 Laboratuvarım Laboratuvarımızda bulunan analizörleri, çalıştığımız dış kalite değerlendirme (DKD) programlarını ve sonuç göndereceğimiz testlerimizi tanımlayacağımız bu kısma Tanımlar menüsü

Detaylı

Özel Uyarılar. Resim 97. United Security. Olay Tipi Etkin Mesaj Göster Gönder Alarm Cikisi Role Suresi Sesli Uyari

Özel Uyarılar. Resim 97. United Security. Olay Tipi Etkin Mesaj Göster  Gönder Alarm Cikisi Role Suresi Sesli Uyari Özel Uyarılar Cihazınız, Hard Disk in dolması, Hard Disk hatası veya kamera bağlantılarından birinin kopması durumunda ekranda mesaj göstererek, Email göndererek, sesli uyararak veya eğer cihazınız destekliyorsa

Detaylı

Mobil Uygulama Kullanma Kılavuzu

Mobil Uygulama Kullanma Kılavuzu R Mobil Uygulama Kullanma Kılavuzu PWR HDD Digital Video Recorder 1 İÇİNDEKİLER Mobil Cihaz Uygulaması... 3 Cihaz Ekleme... 6 Manuel Cihaz Ekleme...6 Çevirimiçi Cihaz Ekleme...15 Mobil Cihazdan Mobil Cihaza

Detaylı

SPSS-Tarihsel Gelişimi

SPSS-Tarihsel Gelişimi SPSS -Giriş SPSS-Tarihsel Gelişimi ilk sürümü Norman H. Nie, C. Hadlai Hull ve Dale H. Bent tarafından geliştirilmiş ve 1968 yılında piyasaya çıkmış istatistiksel analize yönelik bir bilgisayar programıdır.

Detaylı