PSoC TABANLI DOKUNMATİK KABİN KASET BUTONU TASARIMI

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "PSoC TABANLI DOKUNMATİK KABİN KASET BUTONU TASARIMI"

Transkript

1 Asansör Sempozyumu Eylül 2014 // İzmir 195 PSoC TABANLI DOKUNMATİK KABİN KASET BUTONU TASARIMI Burak Taşcı 1, Yavuz Erol 2 Fırat Üniversitesi 1 Teknik Bilimler Meslek Yüksek Okulu, 2 Elektrik Elektronik Mühendisliği 1 btasci@firat.edu.tr, 2 yerol@firat.edu.tr ÖZET Ülkemizde asansör sistemlerine ait elektronik aksamların çoğu yurtdışından yüksek fiyatlarla ithal edilmektedir. Bu sistemlerinin teknolojik olarak iyileştirilmesi ve geliştirilmesi konusunda büyük bir eksiklik bulunmaktadır. Bu çalışmada, günümüzde kullanımı hızla yaygınlaşan ve gömülü sistemler konusunda önemli bir yere sahip olan PSoC (Çip Üzerine Programlanabilir Sistem) teknolojisi ile yenilikçi bir kapasitif algılayıcı tasarlanmış ve asansör sistemlerine uyarlanmıştır. Tasarlanan sistem, dokunmaya duyarlı olup sadece hafif bir temas ile çalıştığından, mevcut mekanik buton ve anahtarlarda görülen aşınma ve yıpranma gibi olumsuz etkiler ortadan kaldırılmıştır. Bu tasarım, uzun ömürlü yapısıyla, öncelikli olarak asansör sistemlerinde sıkça rastlanan buton arızalarını minimuma indirmeye yöneliktir. 1.GİRİŞ Elektronik sistemlerde mikrodenetleyiciler yaygın olarak kullanılmaktadır. Birçok elektronik elemanın yaptığı işi tek başına yapabilmeleri ve küçük yapıda olmaları nedeniyle çok fazla talep görmektedir. PSoC (Programmable System on Chip) mikrodenetleyici geliştirme ve programlama konusunda yepyeni bir kavram temsil etmektedir. PSoC çipleri ve çevre birimlerinde çok sayıda dijital ve analog programlanabilir bloklar bulunmaktadır. Örneğin; analog bloklar, analog filtreler, karşılaştırıcılar, AD (Analog-Dijital) ve DA (Dijital-Analog) çeviriciler vb. [1,2]. PSoC, Cypress firmasının 2005 yılında 8 bit mikrodenetleyici uygulamalarına yönelik olarak ürettiği üründür. Çip üzerine programlanabilen sistem olarak bilinen PSoC, bilinen mikrodenetleyicilerin aksine CPU (Central Processing Unit, Merkezi İşlem Birimi) temel bloğu ile birlikte fonksiyonu kullanıcı tarafından kolayca tanımlanabilen analog ve dijital kullanıcı blokları sunar. Gömülü sistem yapısına uygun olan PSoC, kullanıcının kendi mikrodenetleyicisini oluşturmasına imkan vermektedir. Değiştirilebilir çalışma gerilimi ve 1V gibi küçük gerilimde çalışma özelliğine sahiptir. PSoC u diğer mikrodenetleyicilerden ayıran bu üstünlüklerinden dolayı hem akademik hem de endüstriyel alanda kullanımı hızlı bir şekilde yaygınlaşmaktadır. Literatürde PSoC ile gerçekleştirilen pek çok çalışma bulunmaktadır. Emre Uncuoğlu ve arkadaşları, transistör tetiklemeli tesla bobini tasarımı ve yapımı konulu çalışmada, sinyal üretme katında Cypress firmasına ait PSOC mikrodenetleyicileri kullanmıştır. Bu mikrodenetleyiciye 16 bitlik, 24 MHz ile Hz arasında sinyal üretebilen PWM modülü yerleştirilmiştir [3]. Chia-Chang Tong ve arkadaşları, PSoC içinde yapılandırılabilir analog blokları ile analog filtre tasarımı yapmış, dijital sinyal işleme tasarım uygulamasında yazılım olarak MATLAB FDAT PSoC dijital filtre uygulaması gerçekleştirmiştir [4]. Fuminori Kobayashi ve Shin taro Higuchi, ikinci dereceden alçak geçiren filtre tasarımında PSoC kullanmıştır [5]. Akihiko Kojima ve arkadaşları, mutlak basınç ve kuvvet algılama tekniklerine dayanarak yeni bir dokunmatik algılama cihazı tasarımını PSoC ile gerçekleştirmiştir [6]. Y. C. Chin ve arkadaşları PSoC ile elektronik açı ölçer tasarımı yapmıştır [7]. Rakhee Mohiddin ve arkadaşları, 2.4GHz ISM bandında çalışan PSoC tabanlı sensör ağı tasarlamıştır [8]. Sharat

2 Asansör Sempozyumu Eylül 2014 // İzmir 196 Chandra ve arkadaşları, PSoC ile GSM tabanlı dağıtım sistemi otomasyonu konulu çalışmada PSoC ve GSM modem üzerine bir uygulama geliştirmiştir [9]. Dang Thanh Bui ve arkadaşları elektro-optik modülatör kontrollü opto-elektronik osilatör kararlılığının iyileştirilmesi konulu çalışmada, PSoC mikrodenetleyici ile yeni bir kontrol sistemi tasarlamıştır [10]. 2. PSoC GENEL ÖZELLİKLERİ PSoC mikrodenetleyiciler PSoC1 M8C core ve PSoC3 enhanced 8051 core 8 bit, PSoC4 Arm Cortex M0 ve PSoC5 Arm Cortex M3 32bit CISC mimarisine dayanmaktadır. Yapısında CPU ünitesi, frekans jeneratörü, dijital programlanabilir bloklar, analog programlanabilir bloklar, MAC ünitesi bulunmaktadır [1]. PSOC temel blokları ve genel yapısı Şekil 1 de görülmektedir. Şekil 1. PSOC blokları ve genel yapısı PSoC ailesi birçok On-Chip Controller li Mixed-Signal Array özelliğini taşıyan cihazlardan oluşur. Dijital-Analog blokları, kolay kullanımı ve esnek tasarım imkânı PSoC un en güçlü özelliklerinin başında gelmektedir. Bu özellikler nedeniyle PSoC başta PIC olmak üzere diğer mikrodenetleyicilere karşı birçok üstünlüğe sahiptir. Bu cihaz bünyesinde, ayarlanabilir analog ve dijital devre kullanıcıya istediği şekilde ayarlanabileceği konfigürasyonlar sunar ve böylece birçok uygulamasının gereksinimlerini karşılar. Bu özelliklere ek olarak, hızlı bir CPU, Flash program hafızası, SRAM data hafızası ve uygun pin çıkışları aralığında ayarlanabilir I/O mevcuttur [11]. 3. PSoC (PROGRAMMABLE SYSTEM-ON-CHIP) CY8C4245AXI-483 PSoC 4 ARMCortex -M0 CPU ile karışık-sinyal programlanabilir gömülü sistem denetleyicileri bir aile için ölçeklenebilir ve yeniden yapılandırılabilir bir platform mimarisidir. Programlanabilir analog ve dijital alt-sistemleri, esneklik ve tasarımda ayarlama sağlar. PSoC 4200 de 36 adet GPIO (Genel amaçlı giriş çıkış pinleri) vardır. Bu pinler programlama aşamasında istenildiği gibi giriş veya çıkış olarak kullanılabilir. PSoC 4 ün özellikleri: 48MHz e kadar ARM Cortex -M0 CPU, 32kb a kadar flash, 4kb SRAM, Programlanabilir analog: Op-Amp, 12-bit 1Msps SAR ADC, Programlanabilir dijital: Dört PLD tabanlı mantık blokları, Capsense dokunmatik algılama, 1,71V dan 5,5V düşük güç çalışma aralığı, Dört 16-

3 Asansör Sempozyumu Eylül 2014 // İzmir 197 bit Zamanlayıcı/Sayıcı bloğu, Darbe Genişliği Modülatör (TCPWM) bloğu bulunmaktadır. Şekil 2 de sistemin blok diyagramı verilmiştir. PCB üzerine istenilen kat bilgilerinin yazıldığı dokunmatik butonlar yardımıyla kapasitif değişim sağlanmaktır. Butonlardaki kapasitif değişim PSoC 4 mikrodenetleyici vasıtasıyla sağlanmaktadır. Asansör kart sistemleri 24V ile çalıştığından dolayı optik izoleli sürücü devresi tasarlanmıştır. Dokunmatik butonun kapasitesindeki değişime bağlı olarak PSoC +5V luk lojik 1 çıkışı verir. Optik izoleli sürücü devre sayesinde 24V luk çıkış alınarak asansör CPU kartına bilgi iletilmesi sağlanır. Şekil 2. Blok Diyagramı 4. ELEKTRONİK TASARIM Şekil 3 de elektronik devre şeması verilmiştir. Mikrodenetleyici olarak gömülü sistem yapısına sahip PSoC TQFP kılıflı CY8C4245AXI-483 kullanılmıştır.optik izoleli sürücü devresi bölümünde 4N25 optokuplör kullanılmıştır. Ayrıca PSoC CY8C4245AXI-483 mikrodenetleyiciyi programlama işlemlerini kolaylaştırmak için ISSP programlama çıkışları alınmıştır. Şekil 3. Elektronik Devre

4 Asansör Sempozyumu Eylül 2014 // İzmir 198 Şekil 4 de 4N25 opto kuplör yapısı görülmektedir.cy8c4245axi-483 PSoC P2 [0] giriş pinindeki kapasitif değişim sonucunda P1 [3] çıkış pininde +5V lojik1 çıkışı verir. P1[3] pini 4N25 opto kuplörün 1 nolu bacağına bağlıdır. Lojik 1 bilgisi sayesinde infrared LED ışık yayar. LED in yaymış olduğu ışık fototransistörü iletime geçirerek 24V un çıkışa verilmesi sağlanır. R1 direnci infrared LED'in sürülmesinde uygun akımı sağlamak için kullanılmıştır.r2=10kω direnci çıkış katındaki gürültüyü engellemek için kullanılmıştır. Şekil 4. Optik izoleli sürücü devresi Şekil 5. Sistemin genel görünüşü

5 Asansör Sempozyumu Eylül 2014 // İzmir SONUÇ Bu çalışmada, günümüzde kullanımı hızla yaygınlaşan ve gömülü sistemler konusunda önemli bir yere sahip olan PSoC (Çip Üzerine Programlanabilir Sistem) teknolojisi ile yenilikçi bir kapasitif algılayıcı tasarlanmış ve asansör sistemlerine uyarlanmıştır. Bakır plaket üzerine çıkarılan desenin kapasitif buton olarak kullanılabilmesi sistemin önemli özelliklerindendir. Önerilen tasarım, düşük maliyetli ve yüksek hassasiyet özelliğine sahiptir. Sistem, dokunmaya duyarlı olup sadece hafif bir temas ile çalıştığından, mevcut mekanik buton ve anahtarlarda görülen aşınma ve yıpranma gibi olumsuz etkiler ortadan kaldırılmıştır. Bu tasarım, uzun ömürlü yapısıyla, öncelikli olarak asansör sistemlerinde sıkça rastlanan buton arızalarını minimuma indirmeye yöneliktir. KAYNAKLAR [1] EasyPsoc İnternet adresi Erişim: [2] Robert Ashby Designer s Guide to the Cypress PSOC,Elsevier,2005 [3] Emre Uncuoğlu, Özcan Kalenderli, Hakan Kuntman Transistör Tetiklemeli Tesla Bobini Tasarımı ve Yapımı,Elektrik-Elektronik-Bilgisayar ve Biyomedikal Mühendisliği 13. Ulusal Kongresi ve Fuarı, Aralık [4] Chia-Chang Tong; Wu-Shun Jwo; Jhih-Yu Lin; Shih-Fan Li; Juin-Yi Li The Firmware Design of Analogue And Digital Fılters, Digital Signal Processing Workshop and IEEE Signal Processing Education Workshop (DSP/SPE), 2011 IEEE,,4-7 Jan [5] Kobayashi, F.; Higuchi, S Analog Dynamic Reconfiguration for Area-Efficient Implementation, Circuits and Systems (MWSCAS), IEEE 54th International Midwest Symposium 7-10 Aug [6] Akihiko Kojima, Yuya Abe, Kazuyuki Kobayashi, Tomoyuki Ohkubo and Yosuke Kurihara 2011, A New Tactile Sensing Device Based on an Absolute Pressure Sensor and Force Sensing Register SICE Annual Conference 2011 Waseda University, Tokyo, Japan, September, [7] Y. C. Chin F. H. Chu S. C. Huang H. Y. Yang Based on PSoC Electric Angle Meter, 2011 First International Conference on Robot, Vision and Signal Processin [8] Rakhee Mohiddin, Manoj Kumar, Shashi Kumar Palakurty, Surabhi Bothra, Sai Phaneendra P, M.B. Srinivas Building a Sensor Network with PSoC, 2011 Fifth International Conference on Sensing Technology [9] Sharat Chandra, Susmita Kar, Avireni Srinivasulu, MIEEE, D. K. Mohanta, SMIEEE, Distribution System Automation Based on GSM using Programmable System on Chip (PSoC), Chennai and Dr.MGR University Second International Conference on Sustainable Energy and Intelligent System (SEISCON 2011), Dr. M.G.R. University, Maduravoyal, Chennai, Tamil Nadu, India. July , [10] Dang Thanh Bui,Toan Thang Pham, Van Yem Vu, Bernard Journet B Improving Opto-Electronic Oscillator Stability by Controlling the Electro-Optic Modulator, Communications and Electronics (ICCE), 2012 Fourth International Conference on, 1-3 Aug [11] Cypress Semiconductor and Microsystems İnternet adresi Erişim: [12] Cypress PSoC 4: PSoC 4100 Family Datasheet

PSoC 1 ile Elektronik Uygulamaları için Deney Seti Tasarımı ve Gerçekleştirilmesi

PSoC 1 ile Elektronik Uygulamaları için Deney Seti Tasarımı ve Gerçekleştirilmesi 6 th International Advanced Technologies Symposium (IATS 11), 16-18 May 2011, Elazığ, Turkey PSoC 1 ile Elektronik Uygulamaları için Deney Seti Tasarımı ve Gerçekleştirilmesi K. Cüneray 1, M.R. Canal 2

Detaylı

Adres Yolu (Address Bus) Bellek Birimi. Veri Yolu (Databus) Kontrol Yolu (Control bus) Şekil xxx. Mikrodenetleyici genel blok şeması

Adres Yolu (Address Bus) Bellek Birimi. Veri Yolu (Databus) Kontrol Yolu (Control bus) Şekil xxx. Mikrodenetleyici genel blok şeması MİKRODENETLEYİCİLER MCU Micro Controller Unit Mikrodenetleyici Birimi İşlemci ile birlikte I/O ve bellek birimlerinin tek bir entegre olarak paketlendiği elektronik birime mikrodenetleyici (microcontroller)

Detaylı

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş C ile 8051 Mikrodenetleyici Uygulamaları BÖLÜM 2 8051 Mikrodenetleyicisine Giriş Amaçlar 8051 mikrodenetleyicisinin tarihi gelişimini açıklamak 8051 mikrodenetleyicisinin mimari yapısını kavramak 8051

Detaylı

Mikroişlemcili Sistemler ve Laboratuvarı 5.HAFTA:BÖLÜM-1

Mikroişlemcili Sistemler ve Laboratuvarı 5.HAFTA:BÖLÜM-1 SAKARYA ÜNİVERSİTESİ Bilgisayar ve Bilişim Bilimleri Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemcili Sistemler ve Laboratuvarı 5.HAFTA:BÖLÜM-1 Doç.Dr. Ahmet Turan ÖZCERİT Doç.Dr. Cüneyt BAYILMIŞ

Detaylı

EEM 419-Mikroişlemciler Güz 2017

EEM 419-Mikroişlemciler Güz 2017 EEM 419-Mikroişlemciler Güz 2017 Katalog Bilgisi : EEM 419 Mikroişlemciler (3+2) 4 Bir mikroişlemci kullanarak mikrobilgisayar tasarımı. Giriş/Çıkış ve direk hafıza erişimi. Paralel ve seri iletişim ve

Detaylı

Kocaeli Üniversitesi {kudret.sahin1, oktay, atangel}@kocaeli.edu.tr. Şekil 1: Paralel A / S dönüştürücünün genel gösterimi

Kocaeli Üniversitesi {kudret.sahin1, oktay, atangel}@kocaeli.edu.tr. Şekil 1: Paralel A / S dönüştürücünün genel gösterimi Fırat Üniversitesi-Elazığ 5 BİT- 2.5GS/s PARALEL(FLASH) ANALOG SAYISAL DÖNÜŞTÜRÜCÜ TASARIMI ÖZET Bu çalışmada, 0.18µm TSMC CMOS teknolojisinde yeni bir 1-N kodlayıcı tekniği kullanılarak 5-bit flash A

Detaylı

1. Ders Giriş. Mikroişlemcili Sistem Uygulamaları

1. Ders Giriş. Mikroişlemcili Sistem Uygulamaları 1. Ders Giriş Hazırlayan: Arş. Gör. Hakan ÜÇGÜN Mikroişlemcili Sistem Uygulamaları Dikkat ettiniz mi: Etrafımızdaki akıllı cihazların sayısı ne kadar da arttı. Cep telefonlarımız artık sadece iletişim

Detaylı

Mikroişlemci Nedir? Mikrodenetleyici Nedir? Mikroişlemci iç yapısı Ders Giriş. Mikroişlemcili Sistem Uygulamaları

Mikroişlemci Nedir? Mikrodenetleyici Nedir? Mikroişlemci iç yapısı Ders Giriş. Mikroişlemcili Sistem Uygulamaları Mikroişlemcili Sistem Uygulamaları 1. Ders Giriş Dikkat ettiniz mi: Etrafımızdaki akıllı cihazların sayısı ne kadar da arttı. Cep telefonlarımız artık sadece iletişim sağlamakla kalmıyor, müzik çalıyor,

Detaylı

4-Deney seti modüler yapıya sahiptir ve kabin içerisine tek bir board halinde monte edilmiştir.

4-Deney seti modüler yapıya sahiptir ve kabin içerisine tek bir board halinde monte edilmiştir. MDS 8051 8051 AİLESİ DENEY SETİ 8051 Ailesi Deney Seti ile piyasada yaygın olarak bulunan 8051 ailesi mikro denetleyicileri çok kolay ve hızlı bir şekilde PC nizin USB veya Seri portundan gönderdiğiniz

Detaylı

BESLEME KARTI RF ALICI KARTI

BESLEME KARTI RF ALICI KARTI BESLEME KARTI Araç üzerinde bulunan ve tüm kartları besleyen ünitedir.doğrudan Lipo batarya ile beslendikten sonra motor kartına 11.1 V diğer kartlara 5 V dağıtır. Özellikleri; Ters gerilim korumalı Isınmaya

Detaylı

PIC Programlama. Devrim Çamoğlu

PIC Programlama. Devrim Çamoğlu PIC Programlama Devrim Çamoğlu İçİndekİler XIII İçİndekİler 1. Bölüm - Temel Kavramlar Mikrodenetleyici Tanımı Mikroişlemci-Mikrodenetleyici 1. Mikro İşlemcili Bir Sistemde Kavramlar 2. Tasarım Felsefesi

Detaylı

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş 29.11.2016 İÇERİK Arduino Nedir? Arduino IDE Yazılımı Arduino Donanım Yapısı Elektronik Bilgisi

Detaylı

6. DİJİTAL / ANALOG VE ANALOG /DİJİTAL ÇEVİRİCİLER 1

6. DİJİTAL / ANALOG VE ANALOG /DİJİTAL ÇEVİRİCİLER 1 6. DİJİTAL / ANALOG VE ANALOG /DİJİTAL ÇEVİRİCİLER 1 Günümüzde kullanılan elektronik kontrol üniteleri analog ve dijital elektronik düzenlerinin birleşimi ile gerçekleşir. Gerilim, akım, direnç, frekans,

Detaylı

Arduino nedir? Arduino donanım ve yazılımın kolayca kullanılmasına dayalı bir açık kaynak elektronik platformdur.

Arduino nedir? Arduino donanım ve yazılımın kolayca kullanılmasına dayalı bir açık kaynak elektronik platformdur. Arduino nedir? Arduino donanım ve yazılımın kolayca kullanılmasına dayalı bir açık kaynak elektronik platformdur. Açık kaynak nedir? Açık kaynak, bir bilgisayar yazılımının makina diline dönüştürülüp kullanımından

Detaylı

İçİndekİler. 1. Bölüm - Mİkro Denetleyİcİ Nedİr? 2. Bölüm - MİkroDenetleyİcİlerİ Anlamak

İçİndekİler. 1. Bölüm - Mİkro Denetleyİcİ Nedİr? 2. Bölüm - MİkroDenetleyİcİlerİ Anlamak XIII İçİndekİler 1. Bölüm - Mİkro Denetleyİcİ Nedİr? Mikrodenetleyici Tanımı Mikrodenetleyicilerin Tarihçesi Mikroişlemci- Mikrodenetleyici 1. İki Kavram Arasındaki Farklar 2. Tasarım Felsefesi ve Mimari

Detaylı

İSTANBUL MEDENİYET ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ(TÜRKÇE) 4 YILLIK DERS PLANI

İSTANBUL MEDENİYET ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ(TÜRKÇE) 4 YILLIK DERS PLANI İSTANBUL MEDENİYET ÜNİVERSİTESİ MÜHİSLİK FAKÜLTESİ 2017-2018 ELEKTRİK-ELEKTRONİK MÜHİSLİĞİ BÖLÜMÜ(TÜRKÇE) 4 YILLIK DERS PLANI (Eğitim planı toplamda 138 ve 240 den oluşmaktadır. Yarıyıllara göre alınması

Detaylı

Operatör panelleri FED

Operatör panelleri FED Operatör panelleri FED 120x32 to 1024x768 piksel çözünürlük Text bazlı monokrom ve renkli dokunmatik ekranlı tipler Entegre web tarayıcılı tipler Kullanıșlı WYSIWYG editörleriyle kolay tasarım Sistemden

Detaylı

Gömülü Sistemler. (Embedded Systems)

Gömülü Sistemler. (Embedded Systems) Gömülü Sistemler (Embedded Systems) Tanım Gömülü Sistem (Embedded System): Programlanabilir bilgisayar içeren fakat kendisi genel amaçlı bilgisayar olmayan her türlü cihazdır. Gömülü Sistem (Embedded System):

Detaylı

1. YARIYIL / SEMESTER 1 2. YARIYIL / SEMESTER 2

1. YARIYIL / SEMESTER 1 2. YARIYIL / SEMESTER 2 T.C. NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK VE MİMARLIK FAKÜLTESİ, ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ, 2017-2018 AKADEMİK YILI ÖĞRETİM PLANI T.C. NECMETTIN ERBAKAN UNIVERSITY ENGINEERING AND ARCHITECTURE

Detaylı

PROGRAMLANABİLİR LOJİK DENETLEYİCİ İLE DENEYSEL ENDÜSTRİYEL SİSTEMİN KONTROLÜ

PROGRAMLANABİLİR LOJİK DENETLEYİCİ İLE DENEYSEL ENDÜSTRİYEL SİSTEMİN KONTROLÜ PROGRAMLANABİLİR LOJİK DENETLEYİCİ İLE DENEYSEL ENDÜSTRİYEL SİSTEMİN KONTROLÜ Öğr.Gör. Mehmet TAŞTAN Celal Bayar Üniversitesi Kırkağaç M.Y.O 45700-Kırkağaç/Manisa Tel:0-236-5881828 mehmettastan@hotmail.com

Detaylı

IŞIK ĐZLEYEN ROBOT PROJESĐ FOLLOWĐNG ROBOT SĐNOP LIGHT PROJECT. Proje Yürütücüleri Bünyamin TANGAL, Sinop Ünv. Meslek Yüksekokulu Mekatronik Bölümü

IŞIK ĐZLEYEN ROBOT PROJESĐ FOLLOWĐNG ROBOT SĐNOP LIGHT PROJECT. Proje Yürütücüleri Bünyamin TANGAL, Sinop Ünv. Meslek Yüksekokulu Mekatronik Bölümü IŞIK ĐZLEYEN ROBOT PROJESĐ FOLLOWĐNG ROBOT SĐNOP LIGHT PROJECT Proje Yürütücüleri Bünyamin TANGAL, Sinop Ünv. Meslek Yüksekokulu Mekatronik Bölümü 1 ÖZET Bu projenin amacı, basit elektronik ve mekanik

Detaylı

DOKUMANLAR

DOKUMANLAR DOKUMANLAR https://www.pickat.org Bu belgeyi yukarıdaki karekodu telefonunuza taratarak veya aşağıdaki linkten indirebilirsiniz. Link sürekli güncellenmektedir. https://drive.google.com/file/d/1wyi3ejzvge9vbu0ujklajnsjukbfldv/view?usp=sharing

Detaylı

Uzak Terminal Ünitesi RTU. RTU300 Serisi RTU400 Serisi. Akıllı Kontrolde Teknoloji Devi

Uzak Terminal Ünitesi RTU. RTU300 Serisi RTU400 Serisi.  Akıllı Kontrolde Teknoloji Devi Uzak Terminal Ünitesi RTU Serisi wwwmikrodevcom HABERLEŞME Mikrodev telemetry ve otomasyon sistemleri, yüksek elektromanyetik uyumluluk, güçlü PLC özellikleri ve Serisi RT 0 0 U4 çoklu haberleşme kanal

Detaylı

1. DÖNEM Kodu Dersin Adı T U K. Matematik II Mathematics II (İng) Fizik I 3 2 4. Bilgisayar Programlama I (Java) Computer Programming I (Java) (İng)

1. DÖNEM Kodu Dersin Adı T U K. Matematik II Mathematics II (İng) Fizik I 3 2 4. Bilgisayar Programlama I (Java) Computer Programming I (Java) (İng) Müfredat: Mekatronik Mühendisliği lisans programından mezun olacak bir öğrencinin toplam 131 kredilik ders alması gerekmektedir. Bunların 8 kredisi öğretim dili Türkçe ve 123 kredisi öğretim dili İngilizce

Detaylı

SANAEM RFQ (SPP) HIZLANDIRICISI GÜÇ KAYNAKLARI VE ÖLÇME KUTUSU KONTROL SİSTEMİ. Aydın ÖZBEY İstanbul Üniversitesi

SANAEM RFQ (SPP) HIZLANDIRICISI GÜÇ KAYNAKLARI VE ÖLÇME KUTUSU KONTROL SİSTEMİ. Aydın ÖZBEY İstanbul Üniversitesi SANAEM RFQ (SPP) HIZLANDIRICISI GÜÇ KAYNAKLARI VE ÖLÇME KUTUSU KONTROL SİSTEMİ Aydın ÖZBEY İstanbul Üniversitesi Proton hızlandırıcısı kontrol sistemi Neler üzerinde duracağız? Kontrol edilecek parametreler

Detaylı

DENEY-2. SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ

DENEY-2. SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ DENEY-2 SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ 31 DENEY 2-1: YEDİ SEGMENT GÖSTERGE ÜZERİNDE VERİ GÖRÜNTÜLEME AMAÇ: Mikrodenetleyicinin portuna

Detaylı

MİKROİŞLEMCİLER. Mikroişlemcilerde Kullanılan Yeni Teknolojiler ve Mikroişlemcilerin Rakipleri

MİKROİŞLEMCİLER. Mikroişlemcilerde Kullanılan Yeni Teknolojiler ve Mikroişlemcilerin Rakipleri MİKROİŞLEMCİLER MİKROİŞLEMCİLER Mikroişlemcilerde Kullanılan Yeni Teknolojiler ve Mikroişlemcilerin Rakipleri Mikroişlemcilerde Kullanılan Yeni Teknolojiler Mikroişlemcilerin performanslarının arttırılmasına

Detaylı

5.63. YÜK KONTROLLÜ ASANSÖR ROBOT TASARIMI

5.63. YÜK KONTROLLÜ ASANSÖR ROBOT TASARIMI 5.63. YÜK KONTROLLÜ ASANSÖR ROBOT TASARIMI Prof. Dr. Asaf VAROL Fırat Üniversitesi T.E.F. Elk. ve Bilg. Eğt Böl. asaf_varol@yahoo.com Arş. Gör. Ferhat BAĞÇACI Fırat Üniversitesi T.E.F. Elk. ve Bilg. Eğt

Detaylı

STM32F4 DISCOVERY İLE DOKUNMATİK ARAYÜZLÜ PNÖMATİK SİSTEM TASARIMI

STM32F4 DISCOVERY İLE DOKUNMATİK ARAYÜZLÜ PNÖMATİK SİSTEM TASARIMI STM32F4 DISCOVERY İLE DOKUNMATİK ARAYÜZLÜ PNÖMATİK SİSTEM TASARIMI Yücel YILMAZ, yucel@yilmazyucel.com Sakarya Üniversitesi,54000, Sakarya Bilal KAYA, bilalkayatr@gmail.com Sakarya Üniversitesi, 54000,

Detaylı

DELTA PLC EĞİTİM SETİ KİTAPÇIĞI

DELTA PLC EĞİTİM SETİ KİTAPÇIĞI DELTA PLC EĞİTİM SETİ KİTAPÇIĞI Beti Delta PLC Eğitim Seti üzerinde kullanılan donanımlar Delta marka DVP20SX211T Model PLC DVP16SP11T Genişleme yuvası DOP-B07S411 7 Operatör Paneli PLC CPU sunu üzerindeki

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile PWM Sinyal Ü retim Üygulaması FPGA İLE UYGULAMA ÖRNEKLERİ 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda deneme kartımız üzerinde bulunan 1 adet LED

Detaylı

BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ

BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ KARADENİZ TEKNİK ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ TASARIM PROJESİ ÇALIŞMASI PİC PROGRAMLAMA İLE BASİT UÇAK OYUNU MEHMET HALİT İNAN BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BAHAR 2014 KARADENİZ TEKNİK ÜNİVERSİTESİ

Detaylı

Sayılar, cebir, denklemler ve eşitsizlikler, fonksiyonlar, trigonometri, komplerks sayılar, logaritma

Sayılar, cebir, denklemler ve eşitsizlikler, fonksiyonlar, trigonometri, komplerks sayılar, logaritma KONTROL ve OTOMASYON TEKNOLOJİSİ DERS İÇERİKLERİ I. Yarıyıl Matematik - I Sayılar, cebir, denklemler ve eşitsizlikler, fonksiyonlar, trigonometri, komplerks sayılar, logaritma Bilgisayar - I Wındows işletim

Detaylı

PROJE RAPORU. Proje adı: Pedalmatik 1 Giriş 2 Yöntem 3 Bulgular 6 Sonuç ve tartışma 7 Öneriler 7 Kaynakça 7

PROJE RAPORU. Proje adı: Pedalmatik 1 Giriş 2 Yöntem 3 Bulgular 6 Sonuç ve tartışma 7 Öneriler 7 Kaynakça 7 PROJE RAPORU Proje Adı: Pedalmatik Projemizle manuel vitesli araçlarda gaz, fren ve debriyaj pedallarını kullanması mümkün olmayan engelli bireylerin bu pedalları yönetme kolu (joystick) ile sol el işaret

Detaylı

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Programlanabilir Lojik Basit Programlanabilir Lojik Cihazlar (Simple Programmable Logic Device - SPLD) ** PAL (Programmable

Detaylı

Ek bilgi Internet:.../cecx

Ek bilgi Internet:.../cecx Modüler PLC ler CECX İki ürün versiyonu: CoDeSys tabanlı modüler PLC CoDeSys ve SofMotion tabanlı motion PLC Kolay konfigürasyon Otomatik modül algılaması Network de PLC yi bulmak için arama fonksiyonu

Detaylı

ENDÜSTRİYEL TİP GAZ DEDEKTÖRLERİ. powered by

ENDÜSTRİYEL TİP GAZ DEDEKTÖRLERİ. powered by ENDÜSTRİYEL TİP GAZ DEDEKTÖRLERİ IPACK ENDÜSTRİYEL GAZ ÖLÇÜMLEME VE KONTROL SİSTEMLERİ Endüstriyel işletmelerde kullanılan yanıcı parlayıcı patlayıcı ve/veya toksik gazların anlık veya sürekli olarak tespiti

Detaylı

TIQ TABANLI 8 BİT FOLDING A/D DÖNÜŞTÜRÜCÜ TASARIMI

TIQ TABANLI 8 BİT FOLDING A/D DÖNÜŞTÜRÜCÜ TASARIMI TIQ TABANLI 8 BİT FOLDING A/D DÖNÜŞTÜRÜCÜ TASARIMI M. Fatih TEKİN 1 Ali TANGEL 2 Oktay AYTAR 3 Anıl ÇELEBİ 4 1,2,3,4 Elektronik ve Haberleşme Mühendisliği Bölümü Mühendislik Fakültesi Kocaeli Üniversitesi,

Detaylı

Embedded(Gömülü)Sistem Nedir?

Embedded(Gömülü)Sistem Nedir? Embedded(Gömülü)Sistem Nedir? Embedded Computing System de amaç; elektronik cihaza bir işlevi sürekli tekrar ettirmektir. Sistem içindeki program buna göre hazırlanmıştır. PC lerde (Desktop veya Laptop)

Detaylı

Haftalık Ders Saati Okul Eğitimi Süresi

Haftalık Ders Saati Okul Eğitimi Süresi DERSİN ADI BÖLÜM PROGRAM DÖNEMİ DERSİN DİLİ DERS KATEGORİSİ ÖN ŞARTLAR SÜRE VE DAĞILIMI KREDİ DERSİN AMACI ÖĞRENME ÇIKTILARI VE YETERLİKLER DERSİN İÇERİĞİ VE DAĞILIMI (MODÜLLER VE HAFTALARA GÖRE DAĞILIMI)

Detaylı

Yrd. Doç. Dr. Mustafa NİL

Yrd. Doç. Dr. Mustafa NİL Yrd. Doç. Dr. Mustafa NİL ÖĞRENİM DURUMU Derece Üniversite Bölüm / Program Fırat Üniversitesi Elektrik-Elektronik Mühendisliği Y. Kocaeli Üniversitesi Elektronik ve Haberleşme Mühendisliği Ana Bilim Dalı

Detaylı

PLC (Programlanabilir Lojik. Denetleyici)

PLC (Programlanabilir Lojik. Denetleyici) PLC (Programlanabilir Lojik Denetleyici) İÇERİK Giriş PLC nedir? PLC lerin Uygulama Alanları PLC lerin Yapısı PLC lerin Avantajları PLC Çeşitleri SİEMENS PLC JAPON PLCLER KARŞILAŞTIRMA Giriş PLC

Detaylı

Sensörler. Yrd.Doç.Dr. İlker ÜNAL

Sensörler. Yrd.Doç.Dr. İlker ÜNAL Sensörler Yrd.Doç.Dr. İlker ÜNAL Optokuplör Optokuplör kelime anlamı olarak optik kuplaj anlamına gelir. Kuplaj bir sistem içindeki iki katın birbirinden ayrılması ama aralarındaki sinyal iletişiminin

Detaylı

İşletim Sistemleri (Operating Systems)

İşletim Sistemleri (Operating Systems) İşletim Sistemleri (Operating Systems) 1 İşletim Sistemleri (Operating Systems) Genel bilgiler Ders kitabı: Tanenbaum & Bo, Modern Operating Systems:4th ed., Prentice-Hall, Inc. 2013 Operating System Concepts,

Detaylı

Bununla birlikte WiSeN sensör düğümü ile enerji, güvenlik, protokol vb. konularında birçok akademik çalışma gerçekleştirebilirsiniz.

Bununla birlikte WiSeN sensör düğümü ile enerji, güvenlik, protokol vb. konularında birçok akademik çalışma gerçekleştirebilirsiniz. WiSeN SENSÖR DÜĞÜMÜ Türkiye de ilk kez üretimi gerçekleştirilen, dünyadaki bulunan çeşitli sensör düğümlerden (TelosB, MicaZ vb.) daha üstün teknolojisi olan WiSeN Zigbee Kablosuz Sensör Düğümünü sizlere

Detaylı

Hazırlayan: Tugay ARSLAN

Hazırlayan: Tugay ARSLAN Hazırlayan: Tugay ARSLAN ELEKTRİKSEL TERİMLER Nikola Tesla Thomas Edison KONULAR VOLTAJ AKIM DİRENÇ GÜÇ KISA DEVRE AÇIK DEVRE AC DC VOLTAJ Gerilim ya da voltaj (elektrik potansiyeli farkı) elektronları

Detaylı

ATC-3200 ZigBee to RS232/422/485 Çevirici Kullanıcı Kılavuzu

ATC-3200 ZigBee to RS232/422/485 Çevirici Kullanıcı Kılavuzu ATC-3200 ZigBee to RS232/422/485 Çevirici Kullanıcı Kılavuzu 1.0 Giriş AC-3200 cihazı, maliyet odaklı tasarlanmış yüksek entegreli Seri den ZigBee ye kablosuz çevirici adaptördür. Dahili ZigBee teknolojisi

Detaylı

Deney 10: Analog - Dijital Dönüştürücüler (Analog to Digital Converters - ADC) Giriş

Deney 10: Analog - Dijital Dönüştürücüler (Analog to Digital Converters - ADC) Giriş Deney 10: Analog - Dijital Dönüştürücüler (Analog to Digital Converters - ADC) Analog - Dijital Dönüştürücülerin ADC0804 entegre devresi ile incelenmesi Giriş Sensör ve transdüser çıkışlarında genellikle

Detaylı

PSoC ile YOL EZBERLEYEBİLEN MOBİL ROBOT TASARIMI. Kutay CÜNERAY YÜKSEK LİSANS TEZİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ

PSoC ile YOL EZBERLEYEBİLEN MOBİL ROBOT TASARIMI. Kutay CÜNERAY YÜKSEK LİSANS TEZİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ PSoC ile YOL EZBERLEYEBİLEN MOBİL ROBOT TASARIMI Kutay CÜNERAY YÜKSEK LİSANS TEZİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ GAZİ ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ Ocak 2014 ANKARA Kutay CÜNERAY tarafından hazırlanan

Detaylı

DENEY 21 IC Zamanlayıcı Devre

DENEY 21 IC Zamanlayıcı Devre DENEY 21 IC Zamanlayıcı Devre DENEYİN AMACI 1. IC zamanlayıcı NE555 in çalışmasını öğrenmek. 2. 555 multivibratörlerinin çalışma ve yapılarını öğrenmek. 3. IC zamanlayıcı anahtar devresi yapmak. GİRİŞ

Detaylı

Prof. Dr. Oğuzhan Urhan GYY Müh.Tas 3 ve Tez Konusu Önerileri

Prof. Dr. Oğuzhan Urhan GYY Müh.Tas 3 ve Tez Konusu Önerileri Prof. Dr. Oğuzhan Urhan 2018-2019 GYY Müh.Tas 3 ve Tez Konusu Önerileri Mobil platformlarda Derin Öğrenme (Deep Learning) uygulaması Geleneksel örüntü tanıma yaklaşımları yerine geçmeye başlayan derin

Detaylı

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN Bilgisayar Mühendisliğine Giriş Yrd.Doç.Dr.Hacer KARACAN Mikroişlemci Nedir? Bir bilgisayarın en önemli parçası Mikroişlemcisidir. Hiçbir bilgisayar mikroişlemci olmadan çalışamaz. Bu nedenle Mikroişlemci

Detaylı

Mikroişlemci ile Analog-Sayısal Dönüştürücü (ADC)

Mikroişlemci ile Analog-Sayısal Dönüştürücü (ADC) KARADENİZ TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİ LABORATUARI Mikroişlemci ile Analog-Sayısal Dönüştürücü (ADC) 1. Giriş Analog işaretler analog donanım kullanılarak işlenebilir.

Detaylı

2017 MÜFREDATI MÜHENDİSLİK FAKÜLTESİ / ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ EĞİTİM PLANI

2017 MÜFREDATI MÜHENDİSLİK FAKÜLTESİ / ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ EĞİTİM PLANI 2017 MÜFREDATI MÜHENDİSLİK FAKÜLTESİ / ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ EĞİTİM PLANI SINIF: 1 DÖNEM: GÜZ 200111 TEMEL BİLGİ TEKNOLOJİSİ KULLANIMI USE OF FUNDAMENTAL INFORMATION TECHNOLOGY 2017 2 0 2 2

Detaylı

METSİM SİSTEM MÜHENDİSLİK

METSİM SİSTEM MÜHENDİSLİK Yeni seri lineer ve açı sensörleri ile Turck, sensör teknolojilerinde teknolojik atılımların halen mümkün olduğunu göstermektedir. Yeni sensör üniteleri alışıldık ölçüm sistemlerinin tüm olumlu yönlerini

Detaylı

Endüstriyel Sensörler ve Uygulama Alanları Kalite kontrol amaçlı ölçme sistemleri, üretim ve montaj hatlarında imalat sürecinin en önemli aşamalarındandır. Günümüz teknolojisi mükemmelliği ve üretimdeki

Detaylı

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI Yard. Doç. Dr. Özdemir ÇETİN Sunu Başlıklar kları 1. Amaç 2. Temel Bilgiler 1. SoC (System-On-Chip) nedir? 2. SoC donanım araçları ASIC (Application

Detaylı

MP211 DONANIM KILAVUZU

MP211 DONANIM KILAVUZU MP211 DONANIM KILAVUZU MP211 PLC Serisi 06 / 2017 MIKRODEV_HM_MP211 İÇİNDEKİLER ŞEKİL LİSTESİ... 2 Önsöz... 3 Mikrodev i Tanıyalım... 4 UYARI!... 5 1 MP211 GENEL BİLGİLER... 6 1.1 FİZİKSEL ARAYÜZLER...

Detaylı

PIC 16F877A ile DA MOTOR KONTROLLÜ ROBOT UYGULAMASI DC MOTOR CONTROLLED ROBOT APPLICATION WITH USING PIC 16F877A

PIC 16F877A ile DA MOTOR KONTROLLÜ ROBOT UYGULAMASI DC MOTOR CONTROLLED ROBOT APPLICATION WITH USING PIC 16F877A PIC 16F877A ile DA MOTOR KONTROLLÜ ROBOT UYGULAMASI DC MOTOR CONTROLLED ROBOT APPLICATION WITH USING PIC 16F877A Recep AYRANCI, ÇANKIRI KARATEKĐN ÜNĐVERSĐTESĐ, ÇANKIRI Bayram BEDER, ÇANKIRI KARATEKĐN ÜNĐVERSĐTESĐ,

Detaylı

İçerik. Ürün no.: MSI 430.F50-01 Güvenlik kontrolü

İçerik. Ürün no.: MSI 430.F50-01 Güvenlik kontrolü Ürün no.: 50134315 MSI 430.F50-01 Güvenlik kontrolü Şekil farklılık gösterebilir İçerik Teknik veriler Boyutlandırılmış çizimler Elektrik bağlantısı Devre şeması Aksesuarlar Uyarılar 1 / 7 Teknik veriler

Detaylı

SLC400G SLC600G. Akıllı Linear Akıllı Akım

SLC400G SLC600G. Akıllı Linear Akıllı Akım SLC400G SLC600G Akıllı Linear Akıllı Akım EDM Tel Erozyon Teknolojisinde Son Nokta 2 Anahtar Teslimi Makine Konsepti EDM Profesyonelleri için Üretildi SLC Konseptinin odak noktası performans, Ekonomik

Detaylı

Doç. Dr. Cüneyt BAYILMIŞ

Doç. Dr. Cüneyt BAYILMIŞ BSM 460 KABLOSUZ ALGILAYICI AĞLAR Doç. Dr. Cüneyt BAYILMIŞ Nesnelerin İnterneti 1 BSM 460 KABLOSUZ ALGILAYICI AĞLAR 5. Hafta KABLOSUZ ALGILAYICI AĞLAR Nesnelerin İnterneti 2 Kablosuz Algılayıcı Ağlar (Wireless

Detaylı

Java 2 Micro Edition (J2ME)

Java 2 Micro Edition (J2ME) Java 2 Micro Edition (J2ME) Arş.Gör. Cenk ATLIĞ Bahar 2005-2006 Trakya Üniversitesi Bilgisayar Mühendisliği İçerik Giriş MIDP nedir? MIDP in Java 2 Platformundaki yeri Java 2 Platformunda cihazların kullanım

Detaylı

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9 İÇİNDEKİLER BÖLÜM 1 TEMEL LOJİK KAPI DENEYLERİ 1-1 Lojik ve Anahtara Giriş 1 1-2 Lojik Kapı Devreleri... 9 a. Diyot Lojiği (DL) devresi b. Direnç-Transistor Lojiği (RTL) devresi c. Diyot-Transistor Lojiği

Detaylı

EEM 419-Mikroişlemciler Güz 2016

EEM 419-Mikroişlemciler Güz 2016 EEM 419-Mikroişlemciler Güz 2016 Katalog Bilgisi : EEM 419 Mikroişlemciler (3+2) 4 Bir mikroişlemci kullanarak mikrobilgisayar tasarımı. Giriş/Çıkış ve direk hafıza erişimi. Paralel ve seri iletişim ve

Detaylı

ETHERNET ÜZERİNDEN VERİ AKTARAN SICAKLIK/NEM ÖLÇÜM İSTASYONU TASARIMI VE UYGULAMASI

ETHERNET ÜZERİNDEN VERİ AKTARAN SICAKLIK/NEM ÖLÇÜM İSTASYONU TASARIMI VE UYGULAMASI 78 ETHERNET ÜZERİNDEN VERİ AKTARAN SICAKLIK/NEM ÖLÇÜM İSTASYONU TASARIMI VE UYGULAMASI Serhan Yamaçlı 1, Murat Aksoy 2, Mutlu Avcı 3 1, 2 Çukurova Üniversitesi, Elektrik-Elektronik Mühendisliği Bölümü,

Detaylı

PIC KULLANARAK GÜÇ KARSAYISI ÖLÇÜM DEVRESİ TASARIMI VE SİMÜLASYON

PIC KULLANARAK GÜÇ KARSAYISI ÖLÇÜM DEVRESİ TASARIMI VE SİMÜLASYON PIC KULLANARAK GÜÇ KARSAYISI ÖLÇÜM DEVRESİ TASARIMI VE SİMÜLASYON Sabir RÜSTEMLİ 1 Muhammet ATEŞ 2 1 Elektrik-Elektronik Mühendisliği Bölümü, Yüzüncü Yıl Üniversitesi, Van 2 Başkale Meslek Yüksekokulu

Detaylı

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ EĞİTİM-ÖĞRETİM PLANI ( Normal) D KODU DERSLER T U KR AKTS D KODU DERSLER T U KR AKTS EEM206

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ EĞİTİM-ÖĞRETİM PLANI ( Normal) D KODU DERSLER T U KR AKTS D KODU DERSLER T U KR AKTS EEM206 EK-1 ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ 2018-2019 EĞİTİM-ÖĞRETİM PLANI ( Normal) D.KODU DERSLER T U KR AKTS D. KODU DERSLER T U KR AKTS FİZ101 FİZİK-1 3 1 4 5 FİZ102 FİZİK-2 3 1 4 5 MAT101 MATEMATİK-1

Detaylı

Günümüz. Intel Core i nm teknolojisi 1.86 Milyar tranzistör. Intel Core i nm teknolojisi 1.4 Milyar tranzistör

Günümüz. Intel Core i nm teknolojisi 1.86 Milyar tranzistör. Intel Core i nm teknolojisi 1.4 Milyar tranzistör Gömülü Sistemler Tarihçe 1943-1946 yıllarında Mauchly ve Eckert tarafından ilk modern bilgisayar ENIAC ismiyle yapılmıştır. 17468 elektronik tüp, 1500 röle, 30 ton ağırlık, 0.2ms toplama ve 2.8ms çarpma

Detaylı

Çizgi İzleyen Robot Yapımı ve Teknik Bilgiler

Çizgi İzleyen Robot Yapımı ve Teknik Bilgiler Çizgi İzleyen Robot Yapımı ve Teknik Bilgiler ÇİZGİ İZLEYEN ROBOT NEDİR? Çizgi izleyen robot belirli bir yolu otonom olarak takip edebilen robottur. Bu yol siyah zemin üzerinde beyaz renkte ya da beyaz

Detaylı

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 ARDUINO DİJİTAL GİRİŞ-ÇIKIŞ KONTROLÜ DENEY SORUMLUSU Arş. Gör. Burak ULU ŞUBAT 2015 KAYSERİ

Detaylı

PR042012 1 Şubat 2012 Hannover Messe 2012 Sayfa 1 / 6. Üretim süreçlerinde ve mühendislikte verimlilik artışı

PR042012 1 Şubat 2012 Hannover Messe 2012 Sayfa 1 / 6. Üretim süreçlerinde ve mühendislikte verimlilik artışı Hannover Messe 2012 Sayfa 1 / 6 Üretim süreçlerinde ve mühendislikte verimlilik artışı Beckhoff, 23 ve 27 Nisan tarihleri arasında, Hannover Messe fuarında tüm IPC, I/O, Otomasyon ve Hareket Teknolojileri

Detaylı

Çizgi İzleyen Robot Yapımı

Çizgi İzleyen Robot Yapımı Çizgi İzleyen Robot Yapımı Elektronik Elektronik tasarım için yapılması gerek en önemli şey kullanılacak malzemelerin doğru seçilmesidir. Robotun elektronik aksamı 4 maddeden oluşur. Bunlar; 1. Sensörler

Detaylı

DENEY-7. SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ

DENEY-7. SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ DENEY-7 SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ MİKRODENETLEYİCİLERDE ANALOG DİJİTAL DÖNÜŞTÜRÜCÜ Doğada bulunan tüm sistemler analog düzendedir.

Detaylı

SİNYAL TEMELLERİ İÇİN BİR YAZILIMSAL EĞİTİM ARACI TASARIMI A SOFTWARE EDUCATIONAL MATERIAL ON SIGNAL FUNDAMENTALS

SİNYAL TEMELLERİ İÇİN BİR YAZILIMSAL EĞİTİM ARACI TASARIMI A SOFTWARE EDUCATIONAL MATERIAL ON SIGNAL FUNDAMENTALS SİNYAL TEMELLERİ İÇİN BİR YAZILIMSAL EĞİTİM ARACI TASARIMI Öğr. Gör. Hakan Aydogan Uşak Üniversitesi hakan.aydogan@usak.edu.tr Yrd. Doç. Dr. Selami Beyhan Pamukkale Üniversitesi sbeyhan@pau.edu.tr Özet

Detaylı

RADYO FREKANSIYLA KABLOSUZ SICAKLIK KONTROLÜ WIRELESS TEMPERATURE CONTROL BY RADIO FREQUENCY

RADYO FREKANSIYLA KABLOSUZ SICAKLIK KONTROLÜ WIRELESS TEMPERATURE CONTROL BY RADIO FREQUENCY RADYO FREKANSIYLA KABLOSUZ SICAKLIK KONTROLÜ WIRELESS TEMPERATURE CONTROL BY RADIO FREQUENCY Mehmet TÜMAY, Çankırı Karatekin Üniversitesi Meslek Yüksekokulu, Çankırı Mustafa TEKE, Çankırı Karatekin Üniversitesi

Detaylı

ATB100. ATB100 GPRS / GPS Tabanlı Filo Yönetim Terminali. Bilgi Dokümanı. www.dtsis.com 1

ATB100. ATB100 GPRS / GPS Tabanlı Filo Yönetim Terminali. Bilgi Dokümanı. www.dtsis.com 1 GPRS / GPS Tabanlı Filo Yönetim Terminali Bilgi Dokümanı www.dtsis.com 1 Tanım kompakt, bağımsız ve ekonomik, ancak güçlü ve zengin özelliklere sahip filo yönetimi terminalidir. Tri-band GSM/GPRS modem

Detaylı

PIC Tabanlı Fırçasız DC Motor Sürücüsü Tasarımı

PIC Tabanlı Fırçasız DC Motor Sürücüsü Tasarımı PIC Tabanlı Fırçasız DC Motor Sürücüsü Tasarımı Ömer Aydoğdu, Mert Bayer lektrik-lektronik Mühendisliği Bölümü Selçuk Üniversitesi oaydogdu@selcuk.edu.tr, bayermert@hotmail.com Özet Bu çalışmada, Fırçasız

Detaylı

ARM ĐŞLEMCĐLĐ DENEY KARTI TASARIMI DESIGNING DEVELOPMENT KIT WITH ARM MICROPROCESSOR

ARM ĐŞLEMCĐLĐ DENEY KARTI TASARIMI DESIGNING DEVELOPMENT KIT WITH ARM MICROPROCESSOR ARM ĐŞLEMCĐLĐ DENEY KARTI TASARIMI DESIGNING DEVELOPMENT KIT WITH ARM MICROPROCESSOR Öğr. Gör. Ahmet ALBAYRAK, Sinop Üniversitesi Ayancık Meslek Yüksekokulu, Sinop Öğr. Gör. Đsmail MERSĐNKAYA, Sinop Üniversitesi

Detaylı

8051 Ailesi MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur çekirdeğinin temel özellikkleri aşağıda verilmiştir:

8051 Ailesi MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur çekirdeğinin temel özellikkleri aşağıda verilmiştir: 8051 Ailesi 8051 MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur. 8051 çekirdeğinin temel özellikkleri aşağıda verilmiştir: 1. Kontrol uygulamaları için en uygun hale getirilmiş

Detaylı

1. YARIYIL / SEMESTER 1 2. YARIYIL / SEMESTER 2

1. YARIYIL / SEMESTER 1 2. YARIYIL / SEMESTER 2 T.C. NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK VE MİMARLIK FAKÜLTESİ, ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ, 2018-2019 AKADEMİK YILI ÖĞRETİM PLANI T.C. NECMETTIN ERBAKAN UNIVERSITY ENGINEERING AND ARCHITECTURE

Detaylı

EĞĐTĐM AMAÇLI PLC KONTROLLU BĐR ASANSÖR MODELĐ TASARIMI. Özgür Cemal Özerdem * Nedim Perihanoğlu ÖZET

EĞĐTĐM AMAÇLI PLC KONTROLLU BĐR ASANSÖR MODELĐ TASARIMI. Özgür Cemal Özerdem * Nedim Perihanoğlu ÖZET EĞĐTĐM AMAÇLI PLC KONTROLLU BĐR ASANSÖR MODELĐ TASARIMI Özgür Cemal Özerdem * Nedim Perihanoğlu oozerdem@neu.edu.tr perihanoglu@gmail.com * Yakın Doğu Üniversitesi Elektrik ve Elektronik Mühendisliği Bölümü

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 6 DAC, Sayısal Analog Dönüştürücüler DAC Sayısal Analog Dönüştürücüler Analog sayısal dönüşümün tersini gerçekleyen elemanlara sayısal

Detaylı

MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ

MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ KARADENİZ TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BİLGİSAYAR ORGANİZASYONU LABORATUVARI MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ 1. GİRİŞ Analog işaretleri sayısal işaretlere dönüştüren elektronik devrelere

Detaylı

IRT63M. Bilgi Dokümanı. 128x64 piksel grafik LCD, Klavye, UART ve Ethernet Haberleşme ile Temassız Kart (ISO14443A/B) Okuyucu (IRT63M) www.dtsis.

IRT63M. Bilgi Dokümanı. 128x64 piksel grafik LCD, Klavye, UART ve Ethernet Haberleşme ile Temassız Kart (ISO14443A/B) Okuyucu (IRT63M) www.dtsis. 128x64 piksel grafik LCD, Klavye, UART ve Ethernet Haberleşme ile Temassız Kart (ISO14443A/B) Okuyucu (IRT63M) Bilgi Dokümanı www.dtsis.com 1 İçindekiler 1. Genel Tanım... 3 2. Blok Diyagram... 4 3. Teknik

Detaylı

MKT2012,Proje Tabanlı Mekatronik Eğitim Çalıştayı, 25-27 Mayıs 2012, Çankırı-Ilgaz, TÜRKĐYE

MKT2012,Proje Tabanlı Mekatronik Eğitim Çalıştayı, 25-27 Mayıs 2012, Çankırı-Ilgaz, TÜRKĐYE PIC 16F877A Mikro denetleyicisinin PLC olarak kullanılması PIC LDR Programlama Using PIC16F877A microcontroller for PLC programming PIC LDR Programming Murat BAŞKAN, Mustafa Eren GAZĐ, Kadir Has Üniversitesi

Detaylı

Ürün no.: MSI Güvenlik kontrolü İçerik

Ürün no.: MSI Güvenlik kontrolü İçerik Ürün no.: 50132989 MSI 430-03 Güvenlik kontrolü Şekil farklılık gösterebilir İçerik Teknik veriler Boyutlandırılmış çizimler Elektrik bağlantısı Devre şeması Aksesuarlar Uyarılar 1 / 7 Teknik veriler Temel

Detaylı

DERS 5 PIC 16F84 PROGRAMLAMA İÇERİK. PIC 16F84 bacak bağlantıları PIC 16F84 bellek yapısı Program belleği RAM bellek Değişken kullanımı Komutlar

DERS 5 PIC 16F84 PROGRAMLAMA İÇERİK. PIC 16F84 bacak bağlantıları PIC 16F84 bellek yapısı Program belleği RAM bellek Değişken kullanımı Komutlar DERS 5 PIC 16F84 PROGRAMLAMA İÇERİK PIC 16F84 bacak bağlantıları PIC 16F84 bellek yapısı Program belleği RAM bellek Değişken kullanımı Komutlar Ders 5, Slayt 2 1 BACAK BAĞLANTILARI Ders 5, Slayt 3 PIC

Detaylı

Bilgisayar Mimarisi Nedir?

Bilgisayar Mimarisi Nedir? BİLGİSAYAR MİMARİSİ Bilgisayar Mimarisi Nedir? Bilgisayar mimarisi, diğer mimariler gibi, bir yapı kullanıcısının ihtiyaçlarını belirleme ve bu ihtiyaçları ekonomik ve teknolojik kısıtlamalar dahilinde

Detaylı

PIC-Tabalı Elektronik Devre İçin Düşük Maliyetli Bağışıklık Testi

PIC-Tabalı Elektronik Devre İçin Düşük Maliyetli Bağışıklık Testi PIC-Tabalı Elektronik Devre İçin Düşük Maliyetli Bağışıklık Testi M. Fahri Ünlerşen 1 Ercan Yaldız 2 1 Selçuk Üniversitesi, Doğanhisar MYO, 42930, Konya 2 Selçuk Üniversitesi, Elektrik-Elektronik Müh.

Detaylı

Electronic Letters on Science & Engineering 5(1) (2009) Available online at www.e-lse.org

Electronic Letters on Science & Engineering 5(1) (2009) Available online at www.e-lse.org Electronic Letters on Science & Engineering 5(1) (2009) Available online at www.e-lse.org Traffic Signaling with Sensor and Manual Control Sıtkı AKKAYA Erciyes Üniversitesi, Mühendislik Fakültesi, Elektrik

Detaylı

ADUC841 MİKRODENETLEYİCİ TABANLI GELİŞTİRME KARTININ TANITIMI:

ADUC841 MİKRODENETLEYİCİ TABANLI GELİŞTİRME KARTININ TANITIMI: ADUC841 MİKRODENETLEYİCİ TABANLI GELİŞTİRME KARTININ TANITIMI: Aduc841 geliştirme kartının genel görüntüsü aşağıda verilmiştir; RS232 ANALOG USB ÇIKIŞ ANALOG GİRİŞ POTLAR TEXT LCD EKRAN GÜÇ KAYNAĞI LEDLER

Detaylı

80C51 Mikrodenetleyicilerinde Timer-Counter Yapılarının FPGA Mimarileri Kullanılarak Geliştirilmesi. Özdemir ÇETİN 1. Bölümü, Adapazarı

80C51 Mikrodenetleyicilerinde Timer-Counter Yapılarının FPGA Mimarileri Kullanılarak Geliştirilmesi. Özdemir ÇETİN 1. Bölümü, Adapazarı 80C51 Mikrodenetleyicilerinde Timer-Counter Yapılarının FPGA Mimarileri Kullanılarak Geliştirilmesi Özet Murat ÇAKIROĞLU 1 Ahmet Turan ÖZCRİT 1 alil İbrahim SKİKURT 1 Özdemir ÇTİN 1 1 Sakarya Üniversitesi,

Detaylı

Optik Modülatörlerin Analizi ve Uygulamaları Analysis of the Optical Modulators and Applications

Optik Modülatörlerin Analizi ve Uygulamaları Analysis of the Optical Modulators and Applications Optik Modülatörlerin Analizi ve Uygulamaları Analysis of the Optical Modulators and Applications Gizem Pekküçük, İbrahim Uzar, N. Özlem Ünverdi Elektronik ve Haberleşme Mühendisliği Bölümü Yıldız Teknik

Detaylı

Genel Kavramlar. Bilecik Şeyh Edebali Üniversitesi Gömülü Sistemler Ders Notları-2

Genel Kavramlar. Bilecik Şeyh Edebali Üniversitesi Gömülü Sistemler Ders Notları-2 Genel Kavramlar Bilecik Şeyh Edebali Üniversitesi Gömülü Sistemler Ders Notları-2 ADC : Analog/Digital Converter ARM : Acorn RISC Machine CLK : Clock CPU : Central Processing Unit DAC : Digital / Analog

Detaylı

Sistem Nasıl Çalışıyor: Araç İzleme ve Filo Yönetim Sistemi

Sistem Nasıl Çalışıyor: Araç İzleme ve Filo Yönetim Sistemi arvento Araç Takip ve Filo Yönetim Sistemleri ile araçlarınızı 7 gün 24 saat on-line ve geçmişe yönelik olarak izleyebilir, hızlarını, izlemiş oldukları güzergahı, duraklama yaptıkları yerleri uzaktan

Detaylı

PR362009 24 Kasım 2009 Yazılım, PC-tabanlı kontrol Sayfa 1 / 5

PR362009 24 Kasım 2009 Yazılım, PC-tabanlı kontrol Sayfa 1 / 5 Yazılım, PC-tabanlı kontrol Sayfa 1 / 5 IT standartları otomasyonu geliştiriyor: Microsoft Visual Studio entegrasyonlu TwinCAT programlama ortamı TwinCAT 3 extended Automation Beckhoff, otomasyon dünyasını

Detaylı

RTU300 SERİSİ DONANIM KILAVUZU

RTU300 SERİSİ DONANIM KILAVUZU RTU300 SERİSİ DONANIM KILAVUZU RTU310/RTU320/RTU330/RTU340/RTU350 RTU Serisi 07 / 2017 MIKRODEV_HM_RTU300 İÇİNDEKİLER ŞEKİL LİSTESİ... 2 Önsöz... 3 Mikrodev i Tanıyalım... 4 UYARI!... 5 1 RTU300 GENEL

Detaylı

DENEY 5: GENLİK KAYDIRMALI ANAHTARLAMA (ASK) TEMELLERİNİN İNCELENMESİ

DENEY 5: GENLİK KAYDIRMALI ANAHTARLAMA (ASK) TEMELLERİNİN İNCELENMESİ DENEY 5: GENLİK KAYDIRMALI ANAHTARLAMA (ASK) TEMELLERİNİN İNCELENMESİ Deneyin Amacı: Bilgisayar ortamında Genlik Kaydırmalı Anahtarlama modülasyonu ve demodülasyonu için ilgili kodların incelenmesi ve

Detaylı

1. PROGRAMLAMA. PDF created with pdffactory Pro trial version www.pdffactory.com

1. PROGRAMLAMA. PDF created with pdffactory Pro trial version www.pdffactory.com . PROGRAMLAMA UTR-VC Windows altında çalışan konfigürasyon yazılımı aracılığıyla programlanır. Programlama temel olarak kalibrasyon, test ve giriş/çıkış aralıklarının seçilmesi amacıyla kullanılır. Ancak

Detaylı