DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI

Benzer belgeler
DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER

LAB 0 : Xilinx ISE Kullanımı

Flash ile Etkileşimli Öğretim Materyali Hazırlama Semineri

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama

Programlanabilir Devreler

MPLAB IDE v7.60 PROGRAMI KULLANIMI

MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK

VHOPE ve VHOPE kitaplık dosyalarını kurma

HESAP PLANI DEĞİŞİKLİĞİ

OPNET PROJECT EDİTÖRDE. Doç. Dr. Cüneyt BAYILMIŞ

VHDL. Ece Olcay Güneş & S. Berna Örs

CAPTURE Capture kısmını açtığımızda karşımıza Capture session frame gelir (Şekil 4.1.).

Teknik Doküman. Şekil 01. Şekil 02

Data Structures Lab Güz

BİLGİSAYAR DESTEKLİ TASARIM II

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ

T.C. RC SERVO MOTOR KONTROLÜ

OMNET Ağ Benzetim Yazılımı (Network Simulation Framework) BİL 372 Bilgisayar Ağları. GYTE - Bilgisayar Mühendisliği Bölümü

Access Point Mod Kurulumu

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz.

SİMULİNK KULLANIMI: Simulink'i çalıştırmak için MATLAB komut satırında simulink yazıyoruz.karşımıza Simulink Kütüphanesi çıkacaktır:

Proje #2 - Lojik Devre Benzetimi

Digital Design HDL. Dr. Cahit Karakuş, February-2018

MT4 Platformu Kullanıcı Kılavuzu

Unitech PA 690 ve Unitech PA 692 El Terminallerinde Bluetooth Bağlantısı Yapmak

ZEBEX 107X EL TERMİNALLERİNE FIRMWARE YÜKLEME

Adım Motoru: açıya adım. Şekil 8.2 tekyönlü. Lab 8. Siyah (A) Mavi ( B ) Kırmızı (B)

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur.

MATRİKS VERİ TERMİNALİ GELİŞMİŞ ALARM

Merkezi İçerik Yönetim Sistemi Yardım Dokümanı (V1)

Havuz Modelleme. Bina Tasarım Sistemi. Prota Yazılım Ltd. Şti.

ÖĞRENME FAALİYETİ 1 ÖĞRENME FAALİYETİ 1

2. SCADA PROGRAMI. TEOS' un size sunduğu bir çok hizmet içerisinde en önemlilerini şöyle sıralayabiliriz:

YIL SONU DEVİR İŞLEMİ

Ecza Depolarına Ait E-Fatura Aktarım Modülü

CAEeda ÇÖZÜMÜ YAPILMIŞ NACA 0012 KANADI İÇİN 2B ÇİZİM EĞİTİM NOTU. EDA Tasarım Analiz Mühendislik

EKLEME SORGUSU. 2) ornekveritabani.accdb isimli veritabanınızı çift tıklayarak açınız. Sorarsa, İçeriği Etkinleştir komutunu uygulayınız.

VERİ TABANI NEDİR A. TABLO OLUŞTURMA

PSPICE Đ NASIL KULLANIRIM

BU CİHAZ BİLGİSAYAR BAĞLANTILI SİSTEM OLMAYIP, BAĞLI OLDUĞU BİLGİSAYAR İLE DEVAMLI İLETİŞİM YAPMAMAKTADIR. Mali Onaylı Yazarkasa

NicProxy Registrar AWBS Modül Kurulumu Versiyon 1.0

ZWCAD İçindekiler. Önemli. Tek Kullanıcı Sürümü Lisans Kodu Kullanarak Yükleme Kılavuzu

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

BÖLÜM 11. Montaj Konfigürasyonları

HSancak Nesne Tabanlı Programlama I Ders Notları

Havadan Suya Isı Pompası Seçim Programı / ver.1.4

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

Swansoft Fanuc OiT Kullanımı

T.C. istanbul ÜNiVERSiTESi ÖĞRENCi BiLGi SiSTEMi. ÖĞRETiM ELEMANI KULLANIM KILAVUZU

Universal Repeater Mod Kurulumu

TL-WPS510U PRINT SERVER KURULUM DÖKÜMANI

10.2 VERİYONUNUN KURULABİLMESİ İÇİN EĞER VARSA ÖNCEKİ. ArcGIS SÜRÜMLERİNİN PROGRAM EKLE-KALDIR SEÇENEĞİYLE

Speedy PDKS Kullanım. Kılavuzu

Kumanda ve ProgDVB için Kolay Kurulum

KOLAY SİPARİŞ TAKİBİ v4

MT4 Platformu u Kullanıcı Kılavuzu ARALIK 2011

10 ADIMDA KR AKADEMİ DVD İZLEME PROGRAMI KULLANIM KLAVUZU

HACETTEPE ÜNİVERSİTESİ

1. GİRİŞ Kılavuzun amacı. Bu bölümde;

BÖLÜM 23 TD F8 F4 SHIFT ESC ENTER M1.7 M1.6 M1.5 M1.4 M1.3 M1.2 M1.1 M1.0 F8 F7 F6 F5 F4 F3 F2 F1. Shift + F1

SERNET ET232CAS x2 RS232 Seri Kanal Sunucu KULLANICI KILAVUZU. Telif Hakkı Uyarısı. >>> Otomasyon Ürünleri

SERNET ET232CAS x2 RS232 Seri Kanal Sunucu KULLANICI KILAVUZU. Telif Hakkı Uyarısı. >>> Otomasyon Ürünleri

FortiGate Sertifika Yönetimi. v4.00-build /02

Animasyon Teknikleri, Ses ve Video İşlemleri 1 / 18

Smartnet PRO-W Kullanım Kılavuzu

Bİ L 131 Hafta 2. 1) Bilgisayara Java SE Development Kit 7 kurulması

Uzaktan kumanda ve alıcı programlama

SERNET ET485CAS x2 RS485/RS422 Seri Kanal Sunucu KULLANICI KILAVUZU. Telif Hakkı Uyarısı. >>> Otomasyon Ürünleri

OYAK YATIRIM FX Meta İşlem Platformu Kullanma Kılavuzu

DENEY 5: PSPICE İLE DEVRE ANALİZİ

Flow Kullanım Klavuzu Mart 2014

İSTANBUL ECZACI ODASI BİLGİ İŞLEM BİRİMİ

CAEeda TM OM6 KANADI MODELLEME. EDA Tasarım Analiz Mühendislik

Bağlı Dosya Oluşturma Uygulaması

CAPTİVATE İLE ETKİLİ SUNUM HAZIRLAMA ADOBE CAPTİVATE CS5 PROGRAMI DEĞERLENDİRME SORULARI

BÖLÜM 14. Kaynak Tasarım Ortamı

Scream! e gelen veri akışlarından bazılarını diğer bir kurum yada bilgisayarla paylaşmak için kullanılabilir.

RS-232 ile Seviye Ölçüm Cihazı Kullanım Talimatnamesi

E-öğrenme platformu. İçerik

DS150E Kullanıcı El Kitabı. Dangerfield June V3.0 Delphi PSS

EAGLE KÜTÜPHANE OLUŞTURMA

Kirişte açıklık ortasındaki yer değiştirmeyi bulunuz. Kirişin kendi ağırlığını ihmal ediniz. Modeli aşağıdaki gibi hazırlayınız:

Windows Live ID ve parolanızı giriniz.

Speed dome kameralarda Otomatik fonksiyonları kullanabilmek için; Kameranın protokol bilgisi önemlidir. KONTROL KOMUTLARI

CAEeda TM NACA0012 OLUŞTURULAN DÖRTGENE ÇÖZÜMAĞI OLUŞTURMA EĞİTİM NOTU. EDA Tasarım Analiz Mühendislik

Bu uygulama saatinde, dinamik sistemlerin simülasyonu (benzetimi) için geliştirilmiş olan, oldukça kullanışlı bir arayüz, Simulink, tanıtılacaktır.

Resim 1. Access açılış sayfası. Resim 2. Access veri tabanı düzenleme sayfası


OPNET IT Guru- Network Design (Ağ Tasarımı)

OPNET IT Guru-Switched LANs

TEMEL BİLGİSAYAR. Ders Notları. Yrd. Doç. Dr. Seyit Okan KARA

MENÜLER. Powerpoint 2007 de yedi temel menü vardır. Bunlar;

CAEeda TM. NACA0012 KANADI ÜZERİNDE FAPeda ÇÖZÜMÜ UYGULAMASI EĞİTİM NOTU. EDA Tasarım Analiz Mühendislik

ZWCAD Önemli. Tek Kullanıcı Sürümü Lisans Kodu Kullanarak Yükleme Kılavuzu

1. TANIMLAR 1.1 Laboratuvarım

Özel Uyarılar. Resim 97. United Security. Olay Tipi Etkin Mesaj Göster Gönder Alarm Cikisi Role Suresi Sesli Uyari

Mobil Uygulama Kullanma Kılavuzu

SPSS-Tarihsel Gelişimi

Transkript:

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI DENEY V : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI AMAÇLAR: ALTERA tarafından geliştirilen son teknoloji elektronik tasarım otomasyonu yazılımı olan QUARTUS II ortamında VHDL dilini kullanmaya başlayacağız. QUARTUS II ile ilgili tasarım arayüzünü ve temel VHDL yapılarına ait bilgilerimizi tazeleyeceğiz. Uygulamalar yaparak becerilerimizi geliştireceğiz. Deney Öncesi Çalışma: Aşağıdaki Açıklamlar Bölümünü okuyunuz ve Deney Adımlarını yapmaya çalışınız. Açıklamalar: VHDL İle Tasarım İçin Temel Adımlar VHDL dili STD_LOGIC (Standard Mantık) sınıfındaki veri çeşitlerini destekler. Bu sınıfdaki sinyaller değişik mantık değerlerine sahip olabilirler. Örneğin, 1, 0, ve X. STD_LOGIC sınıfındaki veri tiplerini kullanabilmek için bu sınıfın tanımını VHDL koduna eklemek gerekir. Bu amaçla oluşturulan dosya kütüphanelerini "library" anahtar kelimesiyle tanımlayabilir ve VHDL koduna ekleyebiliriz. STD_LOGIC veri sınıfının tanımlandığı kütüphanenin adı "ieee" dir ve VHDL derleyicisine LIBRARY ieee; direktifi ile bildirilir. ieee kütüphanesinde STD_LOGIC veri sınıfını içeren dosyanın adı "ieee.std_logic_1164.all" dir. 1164 sayısı STD_LOGIC veri sınıfının IEEE -1164 standardına ait olduğunu gösterir ve dosya uzantısı all" ile bu dosyadaki tüm tanımlamaların kullanılacağını bildirmiş oluruz. VHDL derleyicisine bu dosyanın kullanılacağını USE ieee.std_logic_1164.all; direktifi ile bildiririz. STD_LOGIC veri sınıfı içinde kullanılabilen çeşitli değişken değerleri arasında en çok kullanılanlar 0, 1, Z, ve _ dir. Burada Z yüksek direnç değerini _ ise farketmez (don t care) durumunu belirtir. Bunlara ek olarak, STD_LOGIC veri tipleri bütün Bool operatörleri ile kullanılabilir. Böylece, bir VHDL programının ilk iki satırı aşağıdaki gibi olmalıdır: LIBRARY ieee; USE ieee.std_logic_1164.all;

Bütün VHDL programları temel olarak iki kısımdan oluşur: ENTITY ve ARCHITECTURE. ENTITY tasarımınızı dışarıdan görünümü itibariyle bir kara kutu olarak tanımlar. Bilgisayarınızı dışardan bakıldığında sadece giriş ve çıkış elemanları yönüyle detalı olarak tanımlamanız iyi bir ENTITY örneğidir. ARCHITECTURE kısmı tasarımınızın iç yapısını kapılar, sinyaller, işlevsel modüller, ve bağlantılar yönüyle tanımladığınız bölümdür. ENTITY ve ARCHITECTURE birlikte tasarımınızı bir sistem olarak hem dışardan bakıldığında giriş-çıkış terminalleri, hemde içeriden bakıldığında detaylı işlevsellik yönlerinden tanımlamanızı mümkün kılarlar. Bir VHDL Kodu Örneği: Library ieee; Use ieee.std_logic_1164.all; ENTITY Tasarım_Adı IS Terminal Tanımları END Tasarım_Adı; ARCHITECTURE Yapısal_Ad OF Tasarım_Adı IS BEGIN Tasarımın Yapısal Tanımlanması END Yapısal_Ad; Deney Çalışması: Aşağıdaki açıklamalar detaylı olarak QUARTUS II ortamında bir projeyi tanımlamanızı ve VHDL dilini kullanarak proje ile ilgili tasarımınızı yazılım ortamına girmenizin adımlarını göstermektedir. VHDL ile Tasarım Girişi Devre şeması girişine bir allternatif olarak, VHDL tasarım tanımlama ve girişi için oldukça etkili bir yol olarak kullanılabilir. Büyük boyutlu tasarımlarda, VHDL ile tasarım üretkenliğin artırılmasında ve tasarım zamanının önemli ölçüde kısaltılmasında önemli katkı sağlar. VHDL ile sadeleştirme, bağlantı şeması, devre elemanlarının çoklanması gibi işlemler otomatik derleyici ve sentez araçları tarafından yapılır. Adım 1: Proje Yaratma ve Kod Girişi Yeni bir proje tanımlamak için: [File] [New Project Wizard] seçeneğinden sonra proje yaratmak için önceki deneylerde belirtilen adımları uygulayınız. (Not: Deney #1 de proje yaratma adımlarını gözden geçiriniz)

[File] [New] menüsünden VHDL File seçiniz ve [Ok] butonuna tıklayınız. Vhdl1.vhd başlığına çift tıklayarak editör penceresini büyütünüz. [File]->[Save As] seçeneğiyle dosyanıza Ilk_Devrem adını veriniz ve [Save] ile kaydediniz.

VHDL kodu yazacağınız devre şeması: Bu devre ile ilgili olarak aşağıdaki VHDL kodunu editör pencersine. giriniz. library ieee; use ieee.std_logic_1164.all; entity Ilk_Devrem is port( a, b,c,d: in std_logic; y: out std_logic); end Ilk_Devrem;

architecture Islevsel of Ilk_Devrem is signal s1,s2: std_logic; begin s1 <= a nor b; s2 <= c nand d; y <= s1 and s2; end Islevsel; Adım 2. Projenizin Derlenmesi: 1. Processing Start Compilation seçeneğiyle projenizi derleyiniz. 2. Derleme sonunda Full compilation was successful mesajını görmelisiniz. Bu derlemenin başarılı olduğunu ifade eder. 3. Projeniz 0 hata ile sonlanmalıdır. Eğer hatalar var ise, yazdığınız kodu kontrol ederek bu hataları düzeltiniz. 4. Başarılı bir derlemenin sonunda aşağıdaki ekranı gözlemlemelisiniz. Bu durumda derleme pençeresini kapatınız.

Timing Analyzer menüsünden Timing Analyzer Summary seçeneğine tıklayınız. Bu seçenekten the worst-case tpd (giriş-çıkış sinyal geçikmesi) işlevini inceleyiniz. Adım 3: Projenizin Benzetimi (Simulasyonu): Bir sayısal devreyi iki şekilde simule edebilirsiniz: En basit yol, devre elemanlarının ve bağlantıların ideal olduğunu kabul ederek işlevsel simülasyon yapmaktır. Bu şekilde devre elemanları ve bağlantılar üzerindeki sinyal geçikmelerini dikkate almadan, devrenin işlevsel doğruluğunu test etmiş oluruz. Bu yaklaşıma işlevsel simülasyon denir. Biraz daha karmaşık olan ikinci yol, bütün sinyal geçikmelerinin dikkate alınarak yapıldığı simülasyondur ve zamanlama simülasyonu olarak adlandırılır. Genel olarak, işlevsel smülasyon çok daha kısa zaman alır. Bu deney çalışmasında da işlevsel simülasyon üzerinde duracağız. 1. File New Other Files Vector Waveform File menüsünü seçerek seçeneğine tıklayınız. 2. Ana menüden Edit Insert Node or Bus seçimini yapınız. 3. Node Finder seçeneğini tıklayınız. 4. Pins: all seçeneğini yapıp Start butonunu tıklayınız. 5. Nodes Found listesinden A, B, C, D ve Y isimlerini seçiniz. 6. Sağ ok butonuna tıklayarak A, B, C, D, ve Y seçimlerini Selected Nodes bölümüne aktarınız. 7. OK seçeneklerini tıklayınız 8. Fare ile hareket ettirerek seçtiğiniz isimleri istediğiniz sıraya sokabilirsiniz. Dalga formü editör penceresi 9. Simulasyon zaman aralığını 0.0ns ve 160.0ns olarak belirleyiniz. Bunun için Edit End Time menüsünden 160.0 ns olarak giriniz. 10. View Fit in Window seçimiyle tüm simülasyon aralığını ekrana yansıtınız. 11. Click on A and from the side bar, press Overwrite clock button 11. A sinyali üzerine tıklayınız, Overwrite clock butonuna tıklayarak bu sinyalin periyodu için 20.0 ns giriniz. 12. Aynı işlemi B için : 40.0 ns, C için : 80.0 ns, ve D için : 160.0 ns olarak tekrarlayınız.

Waveform Editor Window with Inputs 13. Assignments Settings seçeneğiyle bir seçim pençeresi açınız. Bu pençereden Simulations Settings seçeneği altında Mode seçimi ile simulasyon modunu Functional olarak değiştiriniz 14. File Save seçeneğine gidiniz 15. Şimdi dosyanınzın ismini projenizin ismiyle aynı bırakarak, OK seçeneğiyle dosyanızı saklayınız. 16. Ana menüden Processing Generate Functional Simulation Netlist seçeneğini yapınız. 17. Ana menüden Processing Start Simulation seçimini yapınız. 18. Simülasyon tamamlandığında, diyalog kutusundaki OK seçeneğini tıklayınız. 19. View Fit in Window seçimiyle simülasyonun tamamını ekrana yansıtınız. 20. Elde ettiğiniz doğruluk değerlerini doğruluk tablosundakilerle karşılaştırarak devrenin doğru çalıştığından emin olunuz. BAŞARILAR. Adnan ACAN