LAB 0 : Xilinx ISE Kullanımı

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "LAB 0 : Xilinx ISE Kullanımı"

Transkript

1 LAB 0 : Xilinx ISE Kullanımı 1. Proje Yaratma a. Xilinx ISE programını açınız. b. File à New Project menüsünü seçiniz. New Project Wizard diyalog penceresi açılacaktır. c. New Project Wizard diyalog penceresinde projenizin kayıt edileceği klasörü Location kısmından seçiniz. Name kısmına projenize ismini yazınız (Şekil 1). d. Next butonuna basınız. e. Project Setting kısmında projenizi yükleyeceğiniz geliştirme kiti seçilecektir. Evaluation Development Board kutusundan Spartan-3E Starter Board seçeneğini seçiniz (Şekil-2). f. Next butonuna basınız. Karşınıza proje özeti çıkacaktır. Burada verilenleri kontrol ederek, Finish butonuna basınız. g. Projeniz oluşturulmuştur. Design penceresinden proje hiyerarşinizi görebilirsiniz (Şekil-3). Şekil 1 Şekil 2 Şekil - 3

2 2. Yeni Verilog HDL modülü oluşturmak a. Project à New Source menüsünü seçiniz. b. New Source Wizard diyolog penceresi açılacaktır. Burada modülünüzün ismini yazınız ve Select Source Type kısmından Verilog Module seçeneğini seçiniz (Şekil 4). c. Next butonuna basınız. d. Define modüle kısmında modülünüzün portları tanımlanacaktır (Şekil-5). Burada giriş ve çıkış portlarınızı oluşturabilirsiniz. Portlarınız vektör ise uygun değerleri MSB ve LSB kutucuklarına yazınız. e. Next butonuna basarak Özet kısmına geçiniz. Kontrollerinizi yapınız. Bir hata yok ise Finish butonuna basarak modül dosyanızı oluşturunuz. f. Oluşan dosyaya modülünüzün Verilog HDL kodunu yazabilirsiniz. Şekil 4. Şekil Testbench oluşturma a. Testbench ve simülasyon işlemleri için sol üst köşede menü altında yer alan Simulation radyo butonuna tıklayınız (Şekil 6). Şekil 6.

3 b. Project à New Source menüsünü seçiniz. c. New Source Wizard diyalog kutusunda testbenchinize isim veriniz ve Select Source Type kısmında Verilog Test Fixture seçeneğini seçiniz. Next butonuna basınız. d. Associate Source kısmında test edeceğiniz Verilog modülünü seçiniz (Şekil 8) ve Next butonuna basınız. e. Proje özetini inceleyip Finish butonuna basınız. f. Testbench modülünüz otamatik olarak oluşturulacaktır. Bu dosyada gerekli test sinyal oluşturma işlemlerini ve diğer gerekli işlemleri yapınız. Şekil 7. Şekil Simülasyon a. Sol taraftaki Design penceresinden testbench dosyanızı seçiniz (Şekil-9). b. Bu pencerenin altında yer alan Process penceresinden Simulate Behavioral Model seçeneğine çift tıklayınız (Şekil-10) c. Bu işlem ile ISIM programı açılacaktır. Burada sinyal formlarınızı izleyebilirsiniz. Şekil 9. Şekil 10.

4 5. Projenin Geliştirme Kartına Yüklenmesi a. Projenizi karta yüklemek için sol üst köşede menü altında yer alan Implementation radyo butonunu işaretlenmiş olmalıdır. İşareti değil ise bu butona tıklayınız ve seçiniz (Şekil-11). b. Design penceresinden TOP modülünüzü seçiniz (Şekil-12). Bu seçim ile Process penceresinde menü sistemi oluşacaktır (Şekil-13). Bu noktadan sonraki işlemlerinizi bu menü vasıtasıyla yapacaksınız. c. Pin atama işlemi: Modül portlarınız ile çevre birim (LED, buton v.b.) bağlantıları bu adımda yapılacaktır. i. User Constraints à I/O Pin Planning (PlanAhead) Pre-Synthesis menüsüne çift tıklayınız (Şekil 14). ii. Bir diyalog kutucu ile size UCF dosyasının yaratılıp, proje hiyerarşisine eklenmesi sorulacaktır. Burada YES butonuna tıklayınız. iii. PlanAhead programı açılacaktır. iv. I/O Port kısmında portların satırında yer alan Site kısmına portun bağlantı yapılacağı pin numarası ve diğer kutucuklara ilgili elektriksel özellikler girilecektir (Şekil 15). Pin numaraları ve ilgili özellikler için Spartan-3E Starter Kit User Guide kullanılmalıdır. v. Girişler tamamlandıktan sonra yapılan işlemleri kaydediniz ve PlanAhead programından çıkınız. vi. Bu işlem ile proje hiyerarşisinde UCF dosya oluşturulur. Bu dosyayı kontrol ederek tüm pin atamalarının oluştuğunu izleyiniz. Şekil 11. Şekil -12. Şekil 13. Şekil 14. Şekil 15.

5 d. ISE programında Process penceresinde Synthesize XST seçeneğine çift tıklayarak (Şekil- 13), projenizi sentezleyiniz. Hata oluşmuş ise bu hataları düzeltiniz. e. Process penceresinde Implement Design seçeneğine çift tıklayınız. Hata var ise düzeltiniz. f. Process penceresinde Generate Programming File seçeneğine çift tıklayarak, karta yükleyeceğiniz.bit uzantılı yapılandırma dosyanızı oluşturunuz. Bu dosya TOP modülünüz ile aynı isimde olacaktır. g. Bu noktadan sonra yapılacak işlemlerde Spartan-3E Starter Kit kartının USB üzerinden bilgisayara bağlı olması gerekmektedir. Kartınızın bağlantısını yapınız. h. Process penceresinde Configure Target Device à Manage Configuration Project (impact) seçeneğine çift tıklayınız (Şekil 16). Şekil 16. i. ISE impact programında impact Flows penceresinde Boundary Scan seçeneğine çift tıklayınız (Şekil 17). j. Sağ taraftaki pencereye Mouse ile sağ tıklayınız ve açılan menüde Initialize Chain seçeneğini seçiniz (Şekil-18). Bu işlem ile kartınız ile JTAG haberleşmesi sağlanacaktır. k. Açılan diyalog kutusunda YES butonuna tıklayınız. l. Kartı yapılandıracak, TOP modülünüz ile aynı isimli ve.bit uzantılı yapılandırma dosyasını seçerek, OK butonuna basınız. m. Açılan diyalog kutusunda CANCEL butonuna basınız ve sonraki diyalog kutuları için 2 defa BYPASS butonuna basınız. n. OK butonuna basınız ve impact programına geri dönünüz. o. impact Processes penceresinde Program seçeneğine çift tıklayınız. p. Kartınız yapılandırılmıştır. Modülünüzü kart üzerinde test edebilirsiniz. Şekil 17. Şekil 18.

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER PROJE OLUŞTURMA ŞEMATİK DOSYASI OLUŞTURULMASI VERILOG DOSYASI OLUŞTURULMASI TEST DOSYASI OLUŞTURULMASI XILINX ISE SIMULATOR İLE BENZETİM YAPILMASI PİN

Detaylı

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL Bu dönemki Bil264L dersinde kullanacağımız Xilinx ISE Web 14.7 programında nasıl proje oluşturacağımız, oluşturduğumuz devreleri nasıl test edeceğimiz ve bu devreleri

Detaylı

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL Bu dönemki Bil264L dersinde kullanacağımız Xilinx ISE Web 14.7 programında nasıl proje oluşturacağımız, oluşturduğumuz devreleri nasıl test edeceğimiz ve bu devreleri

Detaylı

HMI (insan makine ara yüzü) EĞİTİM NOTLARI

HMI (insan makine ara yüzü) EĞİTİM NOTLARI HMI (insan makine ara yüzü) EĞİTİM NOTLARI İÇİNDEKİLER; 1. Lincon HMI ile yeni proje oluşturma 1.1 Boş bir proje oluşturuma 1.2 Veri tabanı ve bu veri tabanının altındaki gerçek zamanlı veri tabanı oluşturma

Detaylı

Bİ L 131 Hafta 2. 1) Bilgisayara Java SE Development Kit 7 kurulması

Bİ L 131 Hafta 2. 1) Bilgisayara Java SE Development Kit 7 kurulması Bİ L 131 Hafta 2 1) Bilgisayara Java SE Development Kit 7 kurulması Bunun için internet tarayıcınızı (örneğin Mozilla Firefox, Google Chrome veya Internet Explorer) açınız ve http://www.oracle.com/technetwork/java/javase/downloads/jdk7-downloads-1880260.html

Detaylı

Gerekli bağlantıları yapıp, ACS420 V3.03 programını çalıştırınız. Program açıldığında, LMS14 ün içindeki parametrelerin okunmasını bekleyiniz.

Gerekli bağlantıları yapıp, ACS420 V3.03 programını çalıştırınız. Program açıldığında, LMS14 ün içindeki parametrelerin okunmasını bekleyiniz. Gerekli bağlantıları yapıp, ACS420 V3.03 programını çalıştırınız. Program açıldığında, LMS14 ün içindeki parametrelerin okunmasını bekleyiniz. Aşağıdaki pencereyi gördükten sonra cihazınız parametre ayarı

Detaylı

MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK

MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK 1.1 Programın Başlatılması 1.2 Yeni Proje Oluşturma 1.3 MCU Seçimi Yrd.Doç.Dr.Bülent Çobanoğlu 1.4 MCU Programlama Dil Seçimi 1.5 Proje İsmi

Detaylı

WEB E-POSTA AYARLARI. Outlook 2003 Ayarı ( Resimli Anlatım )

WEB E-POSTA AYARLARI. Outlook 2003 Ayarı ( Resimli Anlatım ) WEB E-POSTA AYARLARI Outlook 2003 Ayarı Outlook 2007 Ayarı Outlook 2010 Ayarı Gmail Ayarı Hotmail Ayarı Tüm Ayarlar İçin Gelen posta sunucusu (POP3) ve giden posta sunucusu (SMTP) ye bnposta.bingol.edu.tr

Detaylı

PlayOnMac Dowload System Preference Security&Privacy clickthelocktomakechnages (değişiklik yapmak için kilidi tıklayın) Allow apps downloaded from:

PlayOnMac Dowload System Preference Security&Privacy clickthelocktomakechnages (değişiklik yapmak için kilidi tıklayın) Allow apps downloaded from: META MAC KURULUM ADIMLARI 1. PlayOnMac programının son versiyonunu PlayOnMac Dowload butonuna tıklayarak indiriniz. 2. İndirdiğiniz dosya otomatik olarak çalışmaz ise manuel olarak çalıştırın ve açılan

Detaylı

ACS790 Programından OZW672 Web Server a tesis diyagramının aktarılması

ACS790 Programından OZW672 Web Server a tesis diyagramının aktarılması ACS790 Programından OZW672 Web Server a tesis diyagramının aktarılması 1. OCI 700 servis aracını RVS serisi kontrol cihazı kullanıyorsanız sol taraftaki resimde görüldüğü gibi BSB soketine, LMS kazan kontrol

Detaylı

KAMPÜS KART KULLANIM KILAVUZU

KAMPÜS KART KULLANIM KILAVUZU KAMPÜS KART KULLANIM KILAVUZU Kampüs Kart, Kampüs araç giriş-çıkışlarında, kantinler ve yemekhane gibi ödeme ve kontrol noktalarında ihtiyaçların karşılanmasına yönelik hem kimlik kartı, hem de temassız

Detaylı

NPratik Yazılım Kurulum Kılavuzu. Yedekleme İşlemi

NPratik Yazılım Kurulum Kılavuzu. Yedekleme İşlemi NPratik Yazılım Kurulum Kılavuzu Herhangi bir neden ile bilgisayarınızı formatlamadan önce NPratik Yazılım Verilerinizi yedeklemeniz gerekmektedir. Programı ilk defa kuruyorsanız NPratik Yazılım Kurulum

Detaylı

ANDROID UYGULAMA YARDIM DOKÜMANI

ANDROID UYGULAMA YARDIM DOKÜMANI ANDROID UYGULAMA YARDIM DOKÜMANI İçerikler Uygulamaya Giriş... 3 Klasörler... 4 Belge Görüntüleme ve İşlemler... 5 Paraf İşlemi... 5 Red İşlemi... 6 İmza İşlemi... 6 İlgi ve Ek Görüntüleme... 6 Güvenli

Detaylı

BİL BİLGİSAYAR PROGRAMLAMA (JAVA)

BİL BİLGİSAYAR PROGRAMLAMA (JAVA) BİL 141 - BİLGİSAYAR PROGRAMLAMA (JAVA) KURULUM DÖKÜMANI Ders kapsamında lab saatlerinde veya lab saatleri dışında Java kodları yazabilmek ve çalıştırabilmek için bilgisayarınıza bazı yazılımların kurulması

Detaylı

KÖHLER. Okuma Programı Kullanma Klavuzu v 2.86 TEL: 0212 256 81 90 TEL: 0322 352 75 70-07 FX: 0212 256 81 97-98 FX: 0322 352 13 19

KÖHLER. Okuma Programı Kullanma Klavuzu v 2.86 TEL: 0212 256 81 90 TEL: 0322 352 75 70-07 FX: 0212 256 81 97-98 FX: 0322 352 13 19 KÖHLER Okuma Programı Kullanma Klavuzu v 2.86 MERKEZ ADANA BÖLGE TEL: 0212 256 81 90 TEL: 0322 352 75 70-07 FX: 0212 256 81 97-98 FX: 0322 352 13 19 İZMİR BÖLGE ANKARA BÖLGE TEL: 0232 459 59 95 TEL: 0312

Detaylı

Xilinx ISE Webpack 14.7 Kurulum Tutorial

Xilinx ISE Webpack 14.7 Kurulum Tutorial Xilinx ISE Webpack 14.7 Kurulum Tutorial Bu dönemki Bil264L dersinde Xilinx firmasının üretmiş olduğu Spartan3E isimli FPGA geliştirme kiti üzerinde mantıksal devreler tasarlayacağız. Derste kullanacağımız

Detaylı

NİTELİKLİ ELEKTRONİK SERTİFİKA KURULUM AŞAMALARI

NİTELİKLİ ELEKTRONİK SERTİFİKA KURULUM AŞAMALARI NİTELİKLİ ELEKTRONİK SERTİFİKA KURULUM AŞAMALARI Kurulum Aşamaları: 1. Java Kurulumu 2. E-imza Sürücülerinin İndirilmesi 3. Kart Okuyucu Kurulumu 4. Akıllı Kart Kurulumu 5. Sertifikayı Kullanıma Açma Bilgisayarların

Detaylı

Kaynak Kodlardan Derleme. Turquaz Muhasebe. Versiyon 0.2. Hüseyin Ergün. 26 Mart 2005

Kaynak Kodlardan Derleme. Turquaz Muhasebe. Versiyon 0.2. Hüseyin Ergün. 26 Mart 2005 Kaynak Kodlardan Derleme Turquaz Muhasebe Versiyon 0.2 Hüseyin Ergün 26 Mart 2005 Turquaz Muhasebe, Kaynak Kodları Derleme Sayfa 2 İçindekiler İÇİNDEKİLER 2 GEÇMİŞ DEĞİŞİKLİKLER 3 1. GİRİŞ 4 1.1 AÇIKLAMA

Detaylı

Trakya Üniversitesi Personel Web Sayfası Düzenleme Kılavuzu

Trakya Üniversitesi Personel Web Sayfası Düzenleme Kılavuzu Trakya Üniversitesi Personel Web Sayfası Düzenleme Kılavuzu Trakya Üniversitesi Web Anasayfası nda Kullanıcı Girişi tıklanır. Karşınıza Tek Noktadan Erişim sağlamak için giriş yapacağınız ekran gelir.

Detaylı

MediaMind Starter Kit

MediaMind Starter Kit MediaMind Starter Kit Kampanya Oluşturma Ana ekrandaki Shortcuts bölümünden Create New Campaign seçiniz. Açılacak ekranda Campaign Name bölümüne kampanya adını yazınız. Advertiser bölümünden reklamvereni

Detaylı

19. Ulusal Ortaokul Matematik Olimpiyatı ve 22. Ulusal Bilim Olimpiyatları. Anadolu Üniversitesi Sınav Hizmetleri Sınav Kılavuzu

19. Ulusal Ortaokul Matematik Olimpiyatı ve 22. Ulusal Bilim Olimpiyatları. Anadolu Üniversitesi Sınav Hizmetleri Sınav Kılavuzu Anadolu Üniversitesi Sınav Hizmetleri Sınav Kılavuzu Bilgisayar Araştırma ve Uygulama Merkezi 19. Ulusal Ortaokul Matematik Olimpiyatı ve 22. Ulusal Bilim Olimpiyatları Genel Bilgi Bu kılavuz Anadolu Üniversitesi

Detaylı

Upgrading Internet Technology skills of Information and Communication Technologies (ICT) Professionals

Upgrading Internet Technology skills of Information and Communication Technologies (ICT) Professionals The European Union s Making the Labour Market more Inclusive III programme For North Cyprus Upgrading Internet Technology skills of Information and Communication Technologies (ICT) Professionals Module

Detaylı

www.muhendisiz.net AutoCAD 2009 Kurulumu

www.muhendisiz.net AutoCAD 2009 Kurulumu Autocad i nasıl kurucam diye gelen sorular üzerine sayısalgrafik.com.tr adresinden kurulum hakkındaki notu sitemizden yayınlamayı uygun buldum.artık aşağıdaki resimli anlatım yoluyla kendiniz adım adım

Detaylı

Autodesk Revit Architecture 2013 Kurulumu

Autodesk Revit Architecture 2013 Kurulumu Autodesk Revit Architecture 2013 Kurulumu Kurulumdan Önce Bu doküman Autodesk Revit Architecture 2013' un tek kullanıcı lisansı kurulumu içindir. Seri Numarası ve Product Key Ürün paketinin üzerinde bulunan

Detaylı

AYBEL YAZILIM E-REÇETE PROGRAMI

AYBEL YAZILIM E-REÇETE PROGRAMI AYBEL YAZILIM E-REÇETE PROGRAMI İŞYERİ HEKİMLERİNİN YAPMASI GEREKEN İŞLEMLER E-REÇETE YAZABİLMELERİ İÇİN - E-imza sahibi olmak, Elektronik imza (e-imza) usb dongle cihazınızın bilgisayarınıza tanıtılması

Detaylı

Microsoft Outlook 2003 Kurulumu

Microsoft Outlook 2003 Kurulumu Microsoft Outlook 2003 Kurulumu Tools (Araçlar) menüsünden E-mail Accounts (E-posta Hesapları) seçeneğini seçiniz. Buradan Add a new e-mail account (Yeni bir e-posta hesabı ekle) sekmesine tıklayınız,

Detaylı

BALIKESİR ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ S7 1200 EĞİTİM SETİ DENEY KİTAPÇIĞI

BALIKESİR ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ S7 1200 EĞİTİM SETİ DENEY KİTAPÇIĞI BALIKESİR ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ S7 1200 EĞİTİM SETİ DENEY KİTAPÇIĞI V1.0 1 İÇİNDEKİLER 1. EĞİTİM SETİNİN TANITILMASI... 3 1.1. ANA ÜNİTE (ÇANTA TİPİ)... 3 1.2. GENEL UYGULAMA MODÜLÜ

Detaylı

Autodesk Revit Architecture 2012 Kurulumu

Autodesk Revit Architecture 2012 Kurulumu Autodesk Revit Architecture 2012 Kurulumu Kurulumdan Önce Bu doküman Autodesk Revit Architecture 2012' un tek kullanıcı lisansı kurulumu içindir. Seri Numarası ve Product Key Ürün paketinin üzerinde bulunan

Detaylı

BİLGİSAYAR DESTEKLİ TASARIM II

BİLGİSAYAR DESTEKLİ TASARIM II 0 BÖLÜM 1 ORCAD PROGRAMINA GİRİŞ: OR-CAD programını başlatmak için Başlat menüsünden programlara gelinir. Programların içerisinde ORCAD Release 9 ve bunun içerisinden de ORCAD Capture seçilir. Karşımıza

Detaylı

Ecza Depolarına Ait E-Fatura Aktarım Modülü

Ecza Depolarına Ait E-Fatura Aktarım Modülü Bilge Elektronik Ltd. Şti. Eczanem Otomasyon Sistemi Ecza Depolarına Ait E-Fatura Aktarım Modülü 1 1. SELÇUK/AS/NEVZAT/DİLEK Ecza Depoları E-Fatura Aktarımı.. 3 2. HEDEF Ecza Deposu E-Fatura Aktarımı..

Detaylı

Spss 14 İçin İhtiyaç Duyulan Minimum Donanım Ve Yazılım Gereçleri; SPSS 14.0 programını License sunucusu üzerinden kurulumu:

Spss 14 İçin İhtiyaç Duyulan Minimum Donanım Ve Yazılım Gereçleri; SPSS 14.0 programını License sunucusu üzerinden kurulumu: Spss 14 İçin İhtiyaç Duyulan Minimum Donanım Ve Yazılım Gereçleri; Microsoft Windows Me, Windows 98, Windows XP, Windows 2000, or Windows NT 4.0, Service Pack 6. Pentium veya Pentium-class işlemci. 128

Detaylı

MATLAB KURULUM KILAVUZU

MATLAB KURULUM KILAVUZU MATLAB KURULUM KILAVUZU 1- https://www.mathworks.com sitesine girerek Log In sekmesine tıklayın. 2- Daha önceden bir Mathworks hesabı oluşturmadıysanız Create Account diyerek yeni bir hesap oluşturun.

Detaylı

AutoCAD 2011 Kurulumu

AutoCAD 2011 Kurulumu AutoCAD 2011 Kurulumu AutoCAD Installation Wizard Kurulum için AutoCAD 2011 DVD sini sürücüye yerleştirdiğinizde, DVD-ROM un içeriğinin okunduğunu belirten Setup Initialization penceresinden sonra, karşınıza

Detaylı

Opera V2 Kurulum Klavuzu V0.01

Opera V2 Kurulum Klavuzu V0.01 İçindekiler 1. MySQL Kurulumu... 2 2. MySQL Ayarlarının Yapılması... 3 3. Veritabanı Kurulumu...4 4. ISP Opera Kurulumu... 5 5. ISP Opera Lisanslama... 5 6. Programa giriş yapmak... 5 7. Merkez Ekleme...

Detaylı

ELEKTRONİK BELGE YÖNETİM SİSTEMİ KOORDİNATÖRLÜĞÜ (EBYS KOORDİNATÖRLÜĞÜ) ELEKTRONİK İMZA KURULUM AŞAMALARI VE EBYS PROGRAMI SİSTEM GEREKSİNİMLERİ

ELEKTRONİK BELGE YÖNETİM SİSTEMİ KOORDİNATÖRLÜĞÜ (EBYS KOORDİNATÖRLÜĞÜ) ELEKTRONİK İMZA KURULUM AŞAMALARI VE EBYS PROGRAMI SİSTEM GEREKSİNİMLERİ ELEKTRONİK BELGE YÖNETİM SİSTEMİ KOORDİNATÖRLÜĞÜ (EBYS KOORDİNATÖRLÜĞÜ) ELEKTRONİK İMZA KURULUM AŞAMALARI VE EBYS PROGRAMI SİSTEM GEREKSİNİMLERİ E-İMZA KURULUM AŞAMALARI Birimimizden almış olduğunuz e-imzanızı

Detaylı

ROKAY. Robot Operatör Kayıt Cihazı KULLANMA KILAVUZU V:1.0

ROKAY. Robot Operatör Kayıt Cihazı KULLANMA KILAVUZU V:1.0 ROKAY Robot Operatör Kayıt Cihazı KULLANMA KILAVUZU V:1.0 1 İÇİNDEKİLER SAYFA Cihazın Genel Özellikleri... 3 Programın Kurulumu... 4 Windows-7 Sürücülerin Yüklenmesi... 5 Windows-Vista Sürücülerin Yüklenmesi...

Detaylı

Cadence OrCAD Kurulum ve Simulasyon

Cadence OrCAD Kurulum ve Simulasyon Cadence OrCAD Kurulum ve Simulasyon http://www.cadence.com/products/orcad/pages/downloads.aspx Yukarida belirtilen link uzerinden, Cadence programlarinin demo versiyonlarini indirebilirsiniz. Sadece yapacagınız

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI DENEY V : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI AMAÇLAR: ALTERA tarafından geliştirilen son teknoloji

Detaylı

HACETTEPE ÜNİVERSİTESİ

HACETTEPE ÜNİVERSİTESİ Sayın Bologna Birim Sorumlusu, HACETTEPE ÜNİVERSİTESİ EĞİTİM PROGRAMLARI BİLGİ YÖNETİM SİSTEMİ KULLANIM KILAVUZU Bu kılavuz Üniversitemizde Bologna Sürecine uyum çalışmaları kapsamında hazırlanan Program

Detaylı

E-İMZA KULLANIM KILAVUZU

E-İMZA KULLANIM KILAVUZU E-İMZA KULLANIM KILAVUZU E-İmza Yazılımının Kurulumu (etoken / SafeNet) İşletim Sisteminizle ilgili detaylı bilgilere «Masaüstünde ya da Başlat Menüsünde yer alan «Bilgisayarım / My Computer» ikonuna sağ

Detaylı

KÜTÜPHANE KAYNAKLARINA DIŞARIDAN (PROXY SUNUCU KULLANARAK) BAĞLANMAK İÇİN YAPILMASI GEREKENLER A. INTERNET EXPLORER KULLANICILARI İÇİN;

KÜTÜPHANE KAYNAKLARINA DIŞARIDAN (PROXY SUNUCU KULLANARAK) BAĞLANMAK İÇİN YAPILMASI GEREKENLER A. INTERNET EXPLORER KULLANICILARI İÇİN; KÜTÜPHANE KAYNAKLARINA DIŞARIDAN (PROXY SUNUCU KULLANARAK) BAĞLANMAK İÇİN YAPILMASI GEREKENLER A. INTERNET EXPLORER KULLANICILARI İÇİN; 1. İnternet Explorer açılır. ARAÇLAR > İNTERNET SEÇENEKLERİ iletişim

Detaylı

Luca Fatura Aktarım İşlemi

Luca Fatura Aktarım İşlemi Luca Fatura Aktarım İşlemi Luca Muhasebe Yazılımında Fatura aktarım işlemini Muhasebe Modülü > Fiş İşlemleri> Fatura Aktarımı Alanından yapabilirsiniz. Bu alanın seçtiğinizde fatura aktarım ekranı başka

Detaylı

MIRACLE DATA WORKS KURULUM DOKÜMANI

MIRACLE DATA WORKS KURULUM DOKÜMANI MIRACLE DATA WORKS KURULUM DOKÜMANI İçindekiler Server Kurulumu... 3 Microsoft.NET Framework 4.0 Kurulumu... 3 Crystal Reports 2008 Redistributable Package (C12) Kurulumu... 5 Microsoft SQL Server 2008

Detaylı

OPNET IT Guru- Network Design (Ağ Tasarımı)

OPNET IT Guru- Network Design (Ağ Tasarımı) OPNET IT Guru- Network Design (Ağ Tasarımı) Amaç: Bu laboratuvar uygulamasının amacı, kullanıcıları, servisleri ve istemcilerin yerlerini dikkate alarak yapılan bir ağ tasarımının temellerini göstermektir.

Detaylı

CAMWorks Licence Manager Kullanımı ve Lisans Aktivasyonu

CAMWorks Licence Manager Kullanımı ve Lisans Aktivasyonu CAMWorks Licence Manager Kullanımı ve Lisans Aktivasyonu İlk olarak yapılması gereken Başlat menüsünden CAMWorks License Manager klasöründen CWFlexLM License Manager dosyası üzerine Mouse un sol tuşu ile

Detaylı

Rezistivite Cihazı Kullanım Klavuzu

Rezistivite Cihazı Kullanım Klavuzu Rezistivite Cihazı Kullanım Klavuzu Açılış ekranı açıklamaları: 1 - Son çalışma aç : Cizhazda en son çalışma yaptığınız dosyayı açar. 2 - Dosya aç : İstediğiniz dosyayı açmanızı sağlar. 3 Yeni çalışma

Detaylı

C için tümleşik geliştirme ortamı (IDE) kurulumları

C için tümleşik geliştirme ortamı (IDE) kurulumları C için tümleşik geliştirme ortamı (IDE) kurulumları 1. Code::Blocks IDE 2. Eclipse IDE 3. Dev-C++ IDE 4. Code::Blocks EDU-Portable (CodeBlocks-EP) IDE ( IDE: Integrated Development Environment http://tr.wikipedia.org/wiki/t%c3%bcmle%c5%9fik_geli%c5%9ftirme_ortam%c4%b1

Detaylı

İzibiz E-Defter Son Kullanıcı Kullanım Klavuzu

İzibiz E-Defter Son Kullanıcı Kullanım Klavuzu İçindekiler 1. Giriş... 2 2. Açılış... 2 3. Defter Sihirbazı... 3 4. İmzalama... 7 5. Berat Oluşturma... 7 6. Berat İmzalama... 8 7. GIB e Gönder... 8 8. Berat İndir... 8 9. Görüntüle... 9 10. Görüntüle...

Detaylı

JOBCONTROL KURULUMU. 2 numaralı görüntüde kırmızı daire içerisine alınmış kısımda, bilgisayarınızın kaç bit olduğunu görebilirsiniz.

JOBCONTROL KURULUMU. 2 numaralı görüntüde kırmızı daire içerisine alınmış kısımda, bilgisayarınızın kaç bit olduğunu görebilirsiniz. JOBCONTROL KURULUMU JobControl kurulumu için, Windows işletim sistemi yüklü bir bilgisayara ihtiyaç vardır. Program kurulumundan önce, işletim sisteminizin 32 bit mi yoksa 64 bit mi olduğunu tespit etmeniz

Detaylı

Bağlı Dosya Oluşturma Uygulaması

Bağlı Dosya Oluşturma Uygulaması Bağlı Dosya Oluşturma Uygulaması Bağlı Dosya Oluşturma Uygulaması İÇİNDEKİLER BAĞLI DOSYA OLUŞTURMA... 3 Bağlı Dosya Oluşturma için Tanımlamalar... 3 Ortak Seçenekler... 3 Klasör Seçenekleri... 3 Kullanıcı

Detaylı

Harita güncelleme direktifleri

Harita güncelleme direktifleri Harita güncelleme direktifleri ilk olarak Türkiye haritasını güncellemeniz için http:// www.mlsnavigasyon.com.tr web sitesine üye olarak kaydolmanız gerekiyor. 1) Yeni üye kaydı ve Güncelleme A) Web sitesinden

Detaylı

Kumanda ve ProgDVB için Kolay Kurulum

Kumanda ve ProgDVB için Kolay Kurulum Kumanda ve ProgDVB için Kolay Kurulum 1. Bilgisayarınızın C:\ Sürücüsündeki Program Files klasörüne Winlirc adında bir klasör oluşturun. SkyStar3 sürücü CD si içindeki 05 REMOTE KONTROL klasöründe WinLirc-0.6.5.zip

Detaylı

BEUN VPN Hizmeti. VPN Nedir?

BEUN VPN Hizmeti. VPN Nedir? BEUN VPN Hizmeti VPN Nedir? VPN, Virtual Private Network, yani Sanal Özel Ağ ın kısaltılmasıdır. VPN, İnternet gibi halka açık telekomünikasyon altyapılarını kullanarak kullanıcılar veya uzak ofisleri

Detaylı

SQL SERVER 2005 ENTEGRE SQL (VTY) VERİ TABANI YÖNETİM KURULUMU RESİMLİ ANLATIMI. Entegre SQL kurmadan önce SQLSERVER 2005,i kuralım öncelikle.

SQL SERVER 2005 ENTEGRE SQL (VTY) VERİ TABANI YÖNETİM KURULUMU RESİMLİ ANLATIMI. Entegre SQL kurmadan önce SQLSERVER 2005,i kuralım öncelikle. SQL SERVER 2005 ENTEGRE SQL (VTY) VERİ TABANI YÖNETİM KURULUMU RESİMLİ ANLATIMI Entegre SQL kurmadan önce SQLSERVER 2005,i kuralım öncelikle. Kurulumu başlattık.kutucuğu işaretledik resimdeki gibi. Ve

Detaylı

Grove Parmak İzi Okuyucu Sensör ile Arduino Uno

Grove Parmak İzi Okuyucu Sensör ile Arduino Uno Grove Parmak İzi Okuyucu Sensör ile Arduino Uno Ürün Linki : http://www.robotistan.com/parmak-izi-okuyucu-sensor-grove-fingerprint- Sensor,PR-1746.html Parmak izi okuyucu modülü farklı programlar aracılığı

Detaylı

1. Sertifika istenmesi

1. Sertifika istenmesi Tele Tıp için SSL sertifikasının alınması ve ISS yüklenmesi için yapılması gerekli işlemlerin anlatan yardım dokümanıdır. Tüm işlemler PACS sunucusu üzerinden yapılmalıdır. 1. Sertifika istenmesi a. Sub

Detaylı

PERKON PDKS Kurulum ve hızlı başlangıç rehberi

PERKON PDKS Kurulum ve hızlı başlangıç rehberi Oluşturma 02.11.2015 Revizyon: 19.01.2016 Rev. No: 03 Yazan: Süleyman FAKİR Düzenleyen: F. DELİRİ PERKON PDKS Kurulum ve hızlı başlangıç rehberi 1) PDKS Kurulumu, Lisans Onaylaması Ve Veri Tabanı Ayarları

Detaylı

Yapılacak Đşlemler: Koşuyolu, Asmadalı Sk No:29 Kadıköy Tel :444-3282 Faks: (216)325-5664 www.datasoft.com.tr

Yapılacak Đşlemler: Koşuyolu, Asmadalı Sk No:29 Kadıköy Tel :444-3282 Faks: (216)325-5664 www.datasoft.com.tr Datasoft Yazılım BBS (Büro Bilgi Sistemi) Programında Müşteri Kira Bilgilerinin Tanımlanması, Muhasebe, Đşletme Defteri ve BBS Programında Entegre Kira Kaydı Oluşturması Datasoft Yazılım BBS (Büro Bilgi

Detaylı

NİTELİKLİ ELEKTRONİK SERTİFİKA KURULUM AŞAMALARI

NİTELİKLİ ELEKTRONİK SERTİFİKA KURULUM AŞAMALARI NİTELİKLİ ELEKTRONİK SERTİFİKA KURULUM AŞAMALARI Kurulum Aşamaları: 1. Java Kurulumu 2. E-imza Sürücülerinin İndirilmesi 3. Kart Okuyucu Kurulumu 4. Akıllı Kart Kurulumu 5. Sertifikayı Kullanıma Açma Bilgisayarların

Detaylı

NJ-MX2 ETHERCAT HABERLEŞMESİ

NJ-MX2 ETHERCAT HABERLEŞMESİ NJ-MX2 ETHERCAT HABERLEŞMESİ İÇİNDEKİLER Giriş 3G3AX-MX2-ECT haberleşme modülü MX2 invertör parametre ayarları EtherCAT haberleşme bağlantı örneği Sysmac Studio da kontrolcü ayarları Global değişkenler

Detaylı

INTERNET INFORMATION SERVICES 6.0 DA WEB SAYFASI YAYINLAMAK

INTERNET INFORMATION SERVICES 6.0 DA WEB SAYFASI YAYINLAMAK INTERNET INFORMATION SERVICES 6.0 DA WEB SAYFASI YAYINLAMAK INTERNET INFORMATION SERVICES 6.0 da (IIS 6.0) Web Sayfası yayınlamak için ilk olarak IIS 6.0 ın kurulu olması gereklidir. IIS Windows ta default

Detaylı

Luca NET Kobi Ticari Yazılımında ilk yapılacaklar

Luca NET Kobi Ticari Yazılımında ilk yapılacaklar Luca NET Kobi Ticari Yazılımında ilk yapılacaklar Luca MMP (Mali Müşavir Paketi) bilgileri ile Luca Üye girişinden sisteme giriş yapıldıktan sonra Luca Net Kobi Ticari Giriş butonuna basarak programa giriş

Detaylı

ONLINE İNGİLİZCE PLACEMENT (SEVİYE BELİRLEME) SINAV TALİMATI

ONLINE İNGİLİZCE PLACEMENT (SEVİYE BELİRLEME) SINAV TALİMATI ONLINE İNGİLİZCE PLACEMENT (SEVİYE BELİRLEME) SINAV TALİMATI BİLGİSAYARDAN İLK DEFA GİRİLDİĞİNDE BU İŞLEMLERİN SIRASIYLA YAPILMASI GEREKMEKTEDİR. AYNI BİLGİSAYARDA DAHA SONRAKİ GİRİŞLERDE BU YÜKLEMELERE

Detaylı

Avrasya Üniversitesi. Tuncay AYDEMİR

Avrasya Üniversitesi. Tuncay AYDEMİR Avrasya Üniversitesi Moodle Sistemi Kullanım Klavuzu Hazırlayan Tuncay AYDEMİR Moodle Nedir? Moodle; Öğrenci eğitimci arasında internet ortamında paylaşımların yapılabilmesini sağlayan bir sistemdir. Eğitimci

Detaylı

E-Birlik İhracat. Basitleştirilmiş Gümrük Hattı. Uygulaması - KULLANICI KILAVUZU -

E-Birlik İhracat. Basitleştirilmiş Gümrük Hattı. Uygulaması - KULLANICI KILAVUZU - E-Birlik İhracat Basitleştirilmiş Gümrük Hattı Uygulaması - KULLANICI KILAVUZU - İNDEKS: 1. GİRİŞ SAYFASI... 3 2. YENİ KULLANICI HESABI OLUŞTURMA İŞLEMİ... 4 2.1 Kullanıcı Giriş Profili Oluşturma İşlemi...

Detaylı

Kablosuz 802.11N USB Adaptör

Kablosuz 802.11N USB Adaptör Kablosuz 802.11N USB Adaptör Hızlı Kurulum Kılavuzu ve Garanti Belgesi NWD-270N Kablosuz 802.11n USB Adaptör Genel Bakış NWD-270N, WPS (Kablosuz Gu venlik Yapılandırması) destekli USB arayu zu ne sahip

Detaylı

Code Composer Studio İndirilmesi ve Kurulması

Code Composer Studio İndirilmesi ve Kurulması BÖLÜM 2: 2.1. STELARIS KART GENEL BİLGİ VE CODE COMPOSER STUDIO: Code Composer Studio İndirilmesi ve Kurulması 1. Aşağıdaki linkten Code Composer Studio yu indirebilirsiniz: http://processors.wiki.ti.com/index.php/download_ccs

Detaylı

HĠTĠT ÜNĠVERSĠTESĠ REKTÖRLÜĞÜ BĠLGĠ ĠġLEM DAĠRE BAġKANLIĞI

HĠTĠT ÜNĠVERSĠTESĠ REKTÖRLÜĞÜ BĠLGĠ ĠġLEM DAĠRE BAġKANLIĞI HĠTĠT ÜNĠVERSĠTESĠ REKTÖRLÜĞÜ BĠLGĠ ĠġLEM DAĠRE BAġKANLIĞI Üniversitemiz FTP sunucusuna bağlanma, Windows 8 ve Office 2013 (Ġngilizce) kurulum dosyalarının indirilmesi ve Windows 7 ve Office 2010 un Windows

Detaylı

T.C. Maliye Bakanlığı Muhasebat Genel Müdürlüğü Personel Ödemeleri Uygulama Destek Şubesi FİİLİ HİZMET ZAMMI UYGULAMA KILAVUZU

T.C. Maliye Bakanlığı Muhasebat Genel Müdürlüğü Personel Ödemeleri Uygulama Destek Şubesi FİİLİ HİZMET ZAMMI UYGULAMA KILAVUZU T.C. Maliye Bakanlığı Muhasebat Genel Müdürlüğü Personel Ödemeleri Uygulama Destek Şubesi FİİLİ HİZMET ZAMMI UYGULAMA KILAVUZU ARALIK - 2012 SİSTEME GİRİŞ http://kbs.muhasebat.gov.tr/index.html linkine

Detaylı

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Altera fpga kartları için derleyici programı Quartus tur. Aşağıdaki linkten quartus programı indirilebilir; https://www.altera.com/download/dnl-index.jsp

Detaylı

ZWCAD +2012. Önemli. Tek Kullanıcı Sürümü Lisans Kodu Kullanarak Yükleme Kılavuzu

ZWCAD +2012. Önemli. Tek Kullanıcı Sürümü Lisans Kodu Kullanarak Yükleme Kılavuzu Sayfa - 2 ZWCAD +2012 Tek Kullanıcı Sürümü Lisans Kodu Kullanarak Yükleme Kılavuzu Önemli ZWCAD de aktivasyon işlemini yaptığınızda lisans kodu, yükleme yapılan bilgisayara kilitlenir. Bu sebeple lisans

Detaylı

MAC İşletim Sistemine Sahip Makineler İçin Elektronik İmza Kurulumu

MAC İşletim Sistemine Sahip Makineler İçin Elektronik İmza Kurulumu MAC İşletim Sistemine Sahip Makineler İçin Elektronik İmza Kurulumu MAC İşletim Sistemine Sahip Makineler İçin Elektronik İmza Kurulumu nda, aşağıdaki adımlar sırası ile takip edilmelidir. 1 - MAC İçin

Detaylı

MİKROSAY YAZILIM VE BİLGİSAYAR SAN. TİC. A.Ş.

MİKROSAY YAZILIM VE BİLGİSAYAR SAN. TİC. A.Ş. TEOS IO SERVER SIMATIC NET OPC SERVER S7 300 PLC ve MPI haberleşme için CP5611 kartı kullanılmaktadır. Bu kart aracılığı ile S7 300 PLC ye bağlanmak için SIMATIC NET OPC Server yazılımı kullanılmaktadır.

Detaylı

e-icraproplus UYAP Takip Açma ve Sorgulama Uygulaması Kullanım Kılavuzu

e-icraproplus UYAP Takip Açma ve Sorgulama Uygulaması Kullanım Kılavuzu 1 e-icraproplus UYAP Takip Açma ve Sorgulama Uygulaması Kullanım Kılavuzu 2 İÇİNDEKİLER 1. e-icraproplus Nedir?... 3 2. e-icraproplus İle UYAP Üzerinde Takip Açma... 3 2.1 Vekalet Görselinin İcraPro ya

Detaylı

CAEeda TM OM6 KANADI MODELLEME. EDA Tasarım Analiz Mühendislik

CAEeda TM OM6 KANADI MODELLEME. EDA Tasarım Analiz Mühendislik CAEeda TM OM6 KANADI MODELLEME EDA Tasarım Analiz Mühendislik 1. Kapsam Kanat Sınırlarını Çizme Taban Kanat Profilinin Hücum ve Firar Kenarları Sınırlarını Çizme Kanat Profilini Dosyadan (.txt) Okuma Geometrik

Detaylı

Dava Dosya Takibi Kullanım Kılavuzu

Dava Dosya Takibi Kullanım Kılavuzu Dava Dosya Takibi Kullanım Kılavuzu Dava Dosya Takibi Kullanım Klavuzu İÇİNDEKİLER HAZIRLIK... 3 Kapak... 3 KİŞİLER... 3 Dava... 4 Karşı Taraf... 4 Duruşmalar... 4 Ön Duruşma... 4 Süreli İş... 5 Duruşma...

Detaylı

. K U L L A N I M T A L I M A T L A R I

. K U L L A N I M T A L I M A T L A R I . K U L L A N I M T A L I M A T L A R I İÇİNDEKİLER I. İLK YÜKLEME TALİMATI... 1 II. DERS İŞLEME TALİMATI... 7 III. SEVİYE BELİRLEME SINAVI TALİMATI... 15 İLK YÜKLEME TALİMATI BİLGİSAYARDAN İLK DEFA GİRİLDİĞİNDE

Detaylı

Platformda ilk adımlar

Platformda ilk adımlar Platformda ilk adımlar MetaTrader Platformu yüklemek istediğiniz cihaz türünü seçiniz: Masaüstü bilgisayar kılavuzu Android cihazlar kılavuzu ios cihazlar kılavuzu Masaüstü bilgisayar kılavuzu 1. Ticarete

Detaylı

www.oncuguvenlik.com.tr

www.oncuguvenlik.com.tr BİLGİSAYARDAKİ YEREL AĞ IP ADRES (LAN IP) AYARLARI Bu kitapçık; 3 farklı işletim sisteminde, bilgisayarınızın otomatik aldığı ip adresini öğrenmeyi ve bilgisayarınıza sabit ip verme işlemlerini göstermektedir.

Detaylı

OYAK YATIRIM FX Meta İşlem Platformu Kullanma Kılavuzu

OYAK YATIRIM FX Meta İşlem Platformu Kullanma Kılavuzu İçindekiler: FX Meta İşlem Platformu Kullanma Kılavuzu 1- Demo Hesap Açılışı 2- Genel Görünüm 3- Alım-Satım İşlemleri 4- Stop-Limit İşlemleri 5- Pozisyon Kapatma 6- Grafiklerin Kullanımı 7- Göstergeler

Detaylı

MERSİN ÜNİVERSİTESİ AKADEMİK PERSONEL BİLGİ SİSTEMİ

MERSİN ÜNİVERSİTESİ AKADEMİK PERSONEL BİLGİ SİSTEMİ MERSİN ÜNİVERSİTESİ AKADEMİK PERSONEL BİLGİ SİSTEMİ Bu doküman Mersin Üniversitesi Akademik Bilgi Sistemi nin tanımını, amaçlarını ve kullanım talimatlarını içerir. Bu dokümanda geçen APBS Akademik Personel

Detaylı

C2C E-PAZARYERİ ENTEGRASYON REFERANS DOKÜMANTASYONU

C2C E-PAZARYERİ ENTEGRASYON REFERANS DOKÜMANTASYONU C2C E-PAZARYERİ ENTEGRASYON REFERANS DOKÜMANTASYONU Mağazalar İçin KMK C2C E-Pazaryeri Entegrasyon Servisleri, Metotları ve Kullanım Örnekleri 29.12.2016 1 Toplu Ürün Yönetimi 3 2 Ürünleri İndirin 5 3

Detaylı

Bitatek IT-8000 El Terminali

Bitatek IT-8000 El Terminali Bitatek IT-8000 El Terminali Kablosuz Ağ Ayarları Ver:1.0 Kablosuz Ağ Ayarları Bitatek IT-8000 el terminalinde kablosuz ağ ayarlarını yapabilmek için kablosuz ağ ayar programı kullanılmalıdır. Kablosuz

Detaylı

MUĞLA SITKI KOÇMAN ÜNĠVERSĠTESĠ. BĠLGĠ ĠġLEM DAĠRE BAġKANLIĞI. AKADEMĠK PERSONEL KĠġĠSEL WEB SAYFASI KULLANIM KILAVUZU MUKWEB ĠÇĠNDEKĠLER TABLOSU

MUĞLA SITKI KOÇMAN ÜNĠVERSĠTESĠ. BĠLGĠ ĠġLEM DAĠRE BAġKANLIĞI. AKADEMĠK PERSONEL KĠġĠSEL WEB SAYFASI KULLANIM KILAVUZU MUKWEB ĠÇĠNDEKĠLER TABLOSU MUĞLA SITKI KOÇMAN ÜNĠVERSĠTESĠ BĠLGĠ ĠġLEM DAĠRE BAġKANLIĞI AKADEMĠK PERSONEL KĠġĠSEL WEB SAYFASI KULLANIM KILAVUZU MUKWEB ĠÇĠNDEKĠLER TABLOSU 1. GENEL BĠLGĠLER... 1 2. Ana Sayfa... 4 3. MukWeb Sayfaları...

Detaylı

Lanschool Sınıf yönetim yazılımının (V7.4) Ncomputing sistemlerinde kullanılması

Lanschool Sınıf yönetim yazılımının (V7.4) Ncomputing sistemlerinde kullanılması Lanschool Sınıf yönetim yazılımının (V7.4) Ncomputing sistemlerinde kullanılması Bir sınıf yönetim sistemi olarak LanSchool yazılımı, öğretmenlerin, öğrenci bilgisayar ekranlarını görmesi, müdahale etmesi,

Detaylı

1. Admin kullanıcı ile sisteme giriş yapınız. Ekranın sağ üstünde yer alan defter yaprakları ikonuna tıklayınız.

1. Admin kullanıcı ile sisteme giriş yapınız. Ekranın sağ üstünde yer alan defter yaprakları ikonuna tıklayınız. Luca Koza Ticari Paket ve Kurumsal Çözümler ürününe E-Fatura uygulaması çözümleri eklenmiştir. E-fatura uygulama çözümü 2 aşamada tamamlanmaktadır. 1. Luca Koza Ticari Paket ve Kurumsal Çözümler yazılımında

Detaylı

Personel Ödemeleri Uygulama Destek Şubesi FİİLİ HİZMET ZAMMI UYGULAMA KILAVUZU

Personel Ödemeleri Uygulama Destek Şubesi FİİLİ HİZMET ZAMMI UYGULAMA KILAVUZU Personel Ödemeleri Uygulama Destek Şubesi FİİLİ HİZMET ZAMMI UYGULAMA KILAVUZU ARALIK - 2012 SİSTEME GİRİŞ http://kbs.muhasebat.gov.tr/index.html linkine tıklanır. Açılan sayfada KBS GİRİŞ butonuna tıklanır.

Detaylı

AdverTech Dijital Tabela Yönetim Yazılımı Kullanım Klavuzu

AdverTech Dijital Tabela Yönetim Yazılımı Kullanım Klavuzu AdverTech Dijital Tabela Yönetim Yazılımı Kullanım Klavuzu 1. AdverTechDS Yönetim Paneline Giriş... 2 2. Hiyerarşi Tanımlama... 3 3. Kullanıcı Tanımlama... 4 4. Cihaz Tanımlama... 5 5. Cihaz Kurulumu...

Detaylı

Yine benzer şekilde hücreler içine yazılan yazıların renklerini değiştirebiliriz. Bunun için tüm satırı veya sütunu yine fareyle seçmek durumundayız.

Yine benzer şekilde hücreler içine yazılan yazıların renklerini değiştirebiliriz. Bunun için tüm satırı veya sütunu yine fareyle seçmek durumundayız. 2. EKLE SEKMESĐ TABLO EKLEMEK: Sayfamıza tablo eklemek için, TABLO butonuna bastıktan sonra açılan pencereden kaç sütün ve kaç satırlık bir tablo oluşturacağımızı belirliyoruz. Fareyi sürüklemek, tablonun

Detaylı

-> 8 04.10.2012 17:58

-> 8 04.10.2012 17:58 Bülent Ecevit Üniversitesi BİDB iphone telefonumdan eduroam kablosuz ağına nasıl bağlanabilirim? Eduroam kablosuz ağına bağlanmanızı sağlayan güvenlik ayarlarını yapmak için "iphone Configuration Utility"

Detaylı

INTERNET BAĞLANTISININ KURULMASI İÇİN GEREKLİ YÖNLENDİRİCİ AYARLARI

INTERNET BAĞLANTISININ KURULMASI İÇİN GEREKLİ YÖNLENDİRİCİ AYARLARI INTERNET BAĞLANTISININ KURULMASI İÇİN GEREKLİ YÖNLENDİRİCİ AYARLARI Not: Bu klavuza geçmeden önce, ürününüzün paketinden çıkan Hızlı Kullanım Klavuzu nda açıklanan adımları gerçekleştiriniz. Internet Bağlantısının

Detaylı

SGK BİOMETRİK VERİ DOĞRULAMA SİSTEMİ

SGK BİOMETRİK VERİ DOĞRULAMA SİSTEMİ 1 SGK BİOMETRİK VERİ DOĞRULAMA SİSTEMİ 1 Eylül 2013 tarihi itibari ile yürürlüğe girmiş bulunan Sosyal Güvenlik Kurumu Biometrik Kimlik Doğrulama Projesi, vatandaşların parmak damar okuma ve biometrik

Detaylı

Uzaktan kumanda ve alıcı programlama

Uzaktan kumanda ve alıcı programlama O - BOX PC Software Uzaktan kumanda ve alıcı programlama PC ekran görüntüsü A ) ON/OFF butonu (2 sn basılı tutun). B ) Kumanda yükleme/okuma. Kumandayı resimde görüldüğü şekilde O-Box üzerine yerleştirin.

Detaylı

Dosya yada klasör ne silinecekse örneğin bir dosyayı silecek isek; Sürükleyerek Geri Dönüşüm Kutusu üzerine götürüp bırakabiliriz.

Dosya yada klasör ne silinecekse örneğin bir dosyayı silecek isek; Sürükleyerek Geri Dönüşüm Kutusu üzerine götürüp bırakabiliriz. Geri Dönüşüm Kutusu Geri Dönüşüm Kutusu Silmeye çalıştığınız nesneler (dosya, klasör v.b.) diskten kalıcı olarak silinmez. Masaüstünde bulunan Geri Dönüşüm Kutusu adındaki nesnenin içerisinde saklanırlar.

Detaylı

Vodafone Cep Sağlık. Kullanıcı Yardım Kılavuzu Sayfa isimlerine tıklayarak ilerleyebilirsiniz. İçindekiler

Vodafone Cep Sağlık. Kullanıcı Yardım Kılavuzu Sayfa isimlerine tıklayarak ilerleyebilirsiniz. İçindekiler Vodafone Cep Sağlık Kullanıcı Yardım Kılavuzu Sayfa isimlerine tıklayarak ilerleyebilirsiniz. Sayfa Adı Sayfa Numarası Kullanıcı Girişi 2 Profilim 3 Acil Durum Sağlık Bilgilerim 4 Özlük Bilgilerim 5 Sağlık

Detaylı

Domain(Alan Adı) ve Hosting (Barındırma Hizmeti) Alma

Domain(Alan Adı) ve Hosting (Barındırma Hizmeti) Alma Domain(Alan Adı) ve Hosting (Barındırma Hizmeti) Alma Domain(Alan Adı) Alma Aşağıda Domain ve Hosting almak için kullanılan mail adresi ve site adı örnektir. Siz kendi mail adresinizi ve adınızı kullanarak

Detaylı

İçindekiler. Akıllı Tahta Uygulaması (ATU) Kullanma Kılavuzu. İçindekiler. Bölüm 1: Uygulama Hakkında Genel bilgi 2

İçindekiler. Akıllı Tahta Uygulaması (ATU) Kullanma Kılavuzu. İçindekiler. Bölüm 1: Uygulama Hakkında Genel bilgi 2 İçindekiler 1 İçindekiler Bölüm 1: Uygulama Hakkında Genel bilgi 2 Bölüm 2: Akıllı Tahta Uygulamasının Yüklenmesi Akıllı Tahta Uygulaması nı yükleme 3 Akıllı Tahta Uygulaması nı kaldırma 3 Akıllı Tahta

Detaylı

www.elektrikogretmenleri.com

www.elektrikogretmenleri.com DREAMWEAVERDA NESNELERE DAVRANIŞ EKLEME Dreamweaver da fare hareket olaylı, animasyonlu görüntüler oluşturmak istenildiğinde hazır scriptler anlamına gelen Davranışlar(behaviors) kullanılmaktadır. Yukarıdaki

Detaylı

Alba FX döviz işlem platformunu aşağıdaki link üzerinden bilgisayarınıza indiriniz.

Alba FX döviz işlem platformunu aşağıdaki link üzerinden bilgisayarınıza indiriniz. KULLANIM KILAVUZU 01 Programın Web Ortamında İndirilmesi Alba FX döviz işlem platformunu aşağıdaki link üzerinden bilgisayarınıza indiriniz. Link: http://albrk.link/albafx 02 Platformun Kurulumu İndirme

Detaylı