Gömülü Sistemler ve Uygulamaları Sempozyumu



Benzer belgeler
KASIRGA 4. GELİŞME RAPORU

Bitirme Ödevi Sunumu PLATFORM BAĞIMSIZ BENZETİM PROGRAMI. Danışman : Yrd.Doç.Dr. D Feza BUZLUCA Gökhan Akın ŞEKER

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın

Bilgisayar Mimarisi Nedir?

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür.

Tarih Saat Modül Adı Öğretim Üyesi. 01/05/2018 Salı 3 Bilgisayar Bilimlerine Giriş Doç. Dr. Hacer Karacan

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü

BM-311 Bilgisayar Mimarisi

DENEY 3: DTMF İŞARETLERİN ÜRETİLMESİ VE ALGILANMASI

Mikrobilgisayar Sistemleri ve Assembler

DENİZ HARP OKULU BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ

EEM 419-Mikroişlemciler Güz 2017

MİKROİŞLEMCİ MİMARİLERİ

VIERO ARAÇ SAYIM SİSTEMİ

İşletim Sistemleri (Operating Systems)

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK

Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü

PLC (Programlanabilir Kontrol Cihazı) TABANLI SİSTEMLERİN İNTERNET ÜZERİNDEN İZLENMESİ

Yönelticiler ve Ağ Anahtarları Teorik Altyapı

BSM 532 KABLOSUZ AĞLARIN MODELLEMESİ VE ANALİZİ OPNET MODELER

DONANIM KURULUMU. Öğr. Gör. Murat YAZICI. 1. Hafta.

Bilgisayar Mimarisi ve Organizasyonu Giriş

SPARC v8 İŞLEMCİ SİMÜLASYONU

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN

VIERO, görüntü tabanlı analiz sayesinde, ortalama araç hızı bilgisi üretmekte ve araç yoğunluğunu da ölçmektedir. VIERO Araç Sayım Sistemi

Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları

İşletim Sistemlerine Giriş

YENİLENEBİLİR ENERJİ KAYNAKLARI RÜZGAR ENERJİSİ SİSTEMLERİ Eğitim Merkezi Projesi

YENİLENEBİLİR ENERJİ EĞİTİM SETİ

GÖRÜNTÜ TABANLI ALGILAMA İLE QUADROTORUN HAREKETLİ BİR CİSMİ TAKİBİ

Bilgisayar Donanım 2010 BİLGİSAYAR

HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK

İvme VGA, İvme s_2.1 fiziksel işlemci çekirdeğinin, çalışan iç yapısının herhangi bir simülasyon olmaksızın fiziksel olarak dış dünyaya aktarımıdır.

BÖLÜM 2 SAYI SİSTEMLERİ

Onur ELMA TÜRKIYE DE AKILLI ŞEBEKELER ALT YAPISINA UYGUN AKILLI EV LABORATUVARI. Yıldız Teknik Üniversitesi Elektrik Mühendisliği

YILDIZ TEKNIK ÜNİVERSİTESİ ELEKTRİK - ELEKTRONİK FAKULTESİ ELEKLTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ

Embedded(Gömülü)Sistem Nedir?

Hareketli. Sistem. Sistemleri. Hareketli. Sistemi

T E M E L K AV R A M L A R. Öğr.Gör. Günay TEMÜR / Teknoloji F. / Bilgisayar Müh.

Ders Adı Kodu Yarıyılı T+U Saati Ulusal Kredisi AKTS. Bilgisayar Organizasyonu BIL

ENDÜSTRİYEL GÖRÜNTÜ İŞLEME. atel sistem

Yazılım Tabanlı HF Telsiz Ailesi.

Çok İşlemcili Yapılarda Sinyal İşleme Yazılımlarının Geliştirilmesi Uygulaması. Sinan Doğan, Esra Beyoğlu

AKILLI KAVŞAK YÖNETİM SİSTEMİ

İçİndekİler. 1. Bölüm - Mİkro Denetleyİcİ Nedİr? 2. Bölüm - MİkroDenetleyİcİlerİ Anlamak

Çalışma Adı : Uzaktan programlanabilir kayan yazı sistemi

KOCAELİ ÜNİVERSİTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ 2018/2019 GYY BİTİRME ÇALIŞMASI ÖNERİ FORMU. (Doç.Dr. M.

ENF 100 Temel Bilgi Teknolojileri Kullanımı Ders Notları 2. Hafta. Öğr. Gör. Dr. Barış Doğru

BM-311 Bilgisayar Mimarisi

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi

BEDEN EĞİTİMİ I: Haftalık ders 1 saattir (T-0 ) (U-l) (K-0).

Çalışma Açısından Bilgisayarlar

Mobil ve Kablosuz Ağlar (Mobile and Wireless Networks)

Bilişim Teknolojilerine Giriş

Bir bölgede başka bir bölgeye karşılıklı olarak, veri veya haberin gönderilmesini sağlayan.sistemlerdir.

Mikroçita. Mikroçita Rapor 2:

Ünite-2 Bilgisayar Organizasyonu.

Programlanabilir Sayısal Sistemler

3 Fazlı Motorların Güçlerinin PLC ile Kontrolü. Doç. Dr. Ramazan BAYINDIR

RF İLE ÇOK NOKTADAN KABLOSUZ SICAKLIK ÖLÇÜMÜ

TBİL-405 Mikroişlemci Sistemleri Bölüm 2 1- % %01010 işleminin sonucu hangisidir? % %11000 %10001 %10101 %00011

Bilişim Sistemleri. Modelleme, Analiz ve Tasarım. Yrd. Doç. Dr. Alper GÖKSU

MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ

Otomasyon Sistemleri Eğitiminde Animasyon Tabanlı Uygulamaların Yeri ve Önemi. Murat AYAZ*, Koray ERHAN**, Engin ÖZDEMİR**

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9

İŞLETİM SİSTEMİ KATMANLARI (Çekirdek, kabuk ve diğer temel kavramlar) Bir işletim sisteminin yazılım tasarımında ele alınması gereken iki önemli konu

EGE Üniversitesi Mühendislik Fakültesi Bilgisayar Müh. Bölümü Öğretim Yılı Lisans Tezi Önerileri

Yazılım Tabanlı HF Telsiz Ailesi.

PARALEL HESAPLAMA ÇAĞRI GİDER ENES BİLGİN

MATLAB A GİRİŞ. EE-346 Hafta-1 Dr. Ayşe DEMİRHAN

BİLİŞİM TEKNOLOJİLERİNİN TEMELLERİ

BİLGİ TEKNOLOJİLERİ SMO103

MONTE CARLO BENZETİMİ

ANOLOG-DİJİTAL DÖNÜŞTÜRÜCÜLER

OTOMATİK KONTROL

Operatör panelleri FED

Yazılım Mühendisliği 1

Öğr. Gör. Hakan YÜKSEL SÜLEYMAN DEMİREL ÜNİVERSİTESİ. Akademik Bilişim

Görüntü Bağdaştırıcıları

CETP KOMPOZİTLERİN DELİNMELERİNDEKİ İTME KUVVETİNİN ANFIS İLE MODELLENMESİ MURAT KOYUNBAKAN ALİ ÜNÜVAR OKAN DEMİR

DGridSim Gerçek Zamanlı Veri Grid Simülatörü. Yazılım Tasarımı Dokümanı v Mustafa Atanak Sefai Tandoğan Doç. Dr.

Yazılım Mühendisliği Bölüm - 3 Planlama

Çoktan Seçmeli Değerlendirme Soruları Akış Şemaları İle Algoritma Geliştirme Örnekleri Giriş 39 1.Gündelik Hayattan Algoritma Örnekleri 39 2.Say

İşaret İşleme ve Haberleşmenin Temelleri. Yrd. Doç. Dr. Ender M. Ekşioğlu

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ

Temel Bilgi Teknolojileri -1

Çözümleri DEĞİŞKEN MESAJ SİSTEMLERİ. İSBAK A.Ş., İstanbul Büyükşehir Belediyesi iştirakidir.

PROGRAMLAMAYA GİRİŞ. Öğr. Gör. Ayhan KOÇ. Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay.

Teknik Katalog [Osiloskop]

Deniz Elektronik Laboratuvarı Tel: D7220_RV5

Mimari Esaslar. Mikroişlemcinin mimari esasları; Kaydediciler Veriyolları İş hatları dır.

DY-45 OSĐLOSKOP KĐTĐ. Kullanma Kılavuzu

Temel Kavramlar-2. Aşağıda depolama aygıtlarının kapasitelerini inceleyebilirsiniz.

Geleneksel ekran kartları, bilgileri, sistem belleğinden kendi belleğine alıp monitöre göndermekteydi. Günümüzdeki ekran kartları ise görüntülenecek

1. GİRİŞ 2. UYARLANIR DİZİ ALGORİTMALARI

Dijital (Sayısal) Fotogrametri

VERĠ HABERLEġMESĠ OSI REFERANS MODELĠ

Transkript:

GömSis 2008 Gömülü Sistemler ve Uygulamaları Sempozyumu Düzenleme Kurulu Prof. Dr. Emre HARMANCI, İTÜ Bilişim Enstitüsü Doç. Dr. Müştak Erhan YALÇIN, İTÜ Elektrik Elektronik Fak, Elektronik ve Haberleşme Müh. Böl. Yrd. Doç. Dr. Osman Kaan EROL, İTÜ Elektrik Elektronik Fak, Bilgisayar Müh. Böl. M. Niyazi SARAL, TÜBİDER Suat BAYSAN, TESİD Bülent GÖNÇ, TBV Emel Altay BEDİSEL, İstanbul Sanayi Odası Refik ÜREYEN, Türkiye Teknoloji Geliştirme Vakfı Yürütme Kurulu Doç. Dr. Müştak Erhan YALÇIN, Elektrik Elektronik Fak, Elektronik ve Haberleşme Müh. Böl. Yrd. Doç. Dr. Osman Kaan EROL, İTÜ Elektrik Elektronik Fak, Bilgisayar Müh. Böl. M. Niyazi SARAL, TÜBİDER Bilim Kurulu Prof. Dr. Eşref ADALI, İstanbul Teknik Üniversitesi Prof. Dr. İrfan ALAN, Ege Üniversitesi Prof. Dr. Atilla ATAMAN, Yıldız Teknik Üniversitesi Yrd. Doç. Dr. Feza BUZLUCA, İstanbul Teknik Üniversitesi Prof. Dr. Günhan DÜNDAR, Boğaziçi Üniversitesi Yrd. Doç. Dr. Oğuz ERGİN, TOBB Ekonomi ve Teknoloji Üniversitesi Yrd. Doç. Dr. Osman Kaan EROL, İstanbul Teknik Üniversitesi Prof. Dr. Muhittin GÖKMEN, İstanbul Teknik Üniversitesi Prof. Dr. Hasan Cengiz GÜRAN, Orta Doğu Teknik Üniversitesi Prof. Dr. Emre HARMANCI, İstanbul Teknik Üniversitesi Prof. Dr. Bülent ÖRENCİK, Tubitak Bilişim Teknolojileri Enstitüsü Yrd. Doç. Dr. Ahmet ÖZKURT, Dokuz Eylül Üniversitesi Prof. Dr. A. Coşkun SÖNMEZ, Yıldız Teknik Üniversitesi Yrd. Doç. Dr. Tuncay UZUN, Yıldız Teknik Üniversitesi Yrd. Doç. Dr. Sıddıka Berna Örs YALÇIN, İstanbul Teknik Üniversitesi Doç. Dr. Müştak Erhan YALÇIN, İstanbul Teknik Üniversitesi Doç. Dr. Arda YURDAKUL, Boğaziçi Üniversitesi 1

İçindekiler Telsiz Bilgisayar Mimarisi 4 Oğuz ERGİN, Yusuf Onur KOÇBERBER, Meltem ÖZSOY Genel Amaçlı 16 - Bit Gömülü Sistem Tasarımı ve Sentezlenmesi 6 Ahmet ÖZMEN, İsmail GÜDENLER, Ercan DOĞAN FPGA Tabanlı Akıllı Anten Sistemi SmAnt 8 Özgür TAMER, Ahmet ÖZKURT Asenkron Makinanın Alan Yönlendirme Kontrolünde FPGA Kullanımı 10 Özkan AKIN, İrfan ALAN ADİS Acil Durum İkaz Sistemi 12 Agah Burak DEMİRKAN, Ahmet ÇOBAN, Ahmet SÖNMEZ, Uğurhan KUTBAY Secure 14 Deniz TAŞKIN, Cem TAŞKIN, Nurşen SUÇSUZ Gerçek Zamanlı Video İşleyen Yeni Bir Hücresel Sinir Ağları Emülatörü 16 Kamer KAYAER, Vedat TAVŞANOĞLU Güvenli Fotoğraf Makinesi Tasarımı ve FPGA da Gerçeklenmesi 18 Oğuz ŞEN FFT Algoritmalarının FPGA Üzerinde Gerçeklenmesi 20 Tuba AYHAN, Müştak E. YALÇIN CRYPTODIN Kriptolu Yazılımsal Mikroişlemci 22 Tolga AYAV, K. Atilla TOKER, Gökhan AKSAKALLI, Nurtürk HARSA Yüksek Hızlı Ağlar İçin Zamanlama ve Anahtarlama Mimarilerinin Tasarımı ve Gerçeklenmesi 24 Mustafa SANLI, Ece SCHMIDT Sayısal Görüntüye Veri Gömmek Ve Ayrıştırmak İçin FPGA Tabanlı Donanım Modülü Tasarımı 26 İsmail ERCAN, İbrahim ŞAHİN, Serdar KIRIŞOĞLU Enerji Kalitesi Bozucularının Belirlenmesi ve Sınıflandırılması İçin Gömülü Sistem Uygulaması 28 Semavi AKIN, Doğan Gökhan ECE, Ömer Nezih GEREK RFID İçin Yazılım ve Donanım Geliştirme 30 İbrahim KORANA, Vahit TONGUR, Şirzat KAHRAMANLI Üç Fazlı Asenkron Motorun FPGA Tabanlı Modellenmesi ve Döngüde Donanımsal Benzetim Tekniği ile Sürücü Sistemlerinin Gerçek Zamanlı Test Edilmesi 32 Erkan DUMAN, Hayrettin CAN, Erhan AKIN FPGA Üzerinde SelCPU İşlemcisi İle System-On-Chip Uygulaması 35 Selçuk BAŞAK Mikrokontrolör İle CF Bellekli MP3 Kod Çözücü Tasarımı 37 Yalçın EZGİNCİ, Ali ALİOĞLU Genel Amaçlı, Pipeline RISC Mimarili İşlemcinin VHDL Dili İle Tasarımı ve FPGA Üzerinde Gerçeklenmesi: İvme İşlemcisi 39 M. Ali ULUCAN, Özkan AKIN 2

Genel Amaçlı, CISC ve Von Neuman Mimarili İşlemcinin VHDL Dili İle Tasarımı ve FPGA Üzerinde Gerçeklenmesi 41 Ümit TARHAN, Erman SELİM, Emre AYANOĞLU, İbrahim KURU, Nihat Erdi SAMARAZ, Özkan AKIN ITUcam, FPGA Tabanlı Görüntü Yakalama ve İşleme Kartı Gerçeklemesi 43 Ramazan YENİÇERİ, Abdullah USTA, Müştak E. YALÇIN Plaka Yeri Tespiti için Kenar Bulma, Bit Tabanlı Öznitelik Çıkartma ve YSA Sınıflandırıcısının FPGA Üzerine Uyarlanması 45 Mehmet Ali ÇAVUŞLU, Halis ALTUN, Fuat KARAKAYA Gömülü Sistemler İçin Düşük Güç Tüketimli İşlemci Tasarımı 47 Muhammet M. KAYA, Uğur ÇAM Yapay Sinir Ağı Eğitiminin IEEE 754 Kayan Noktalı Sayı Formatı İle FPGA Tabanlı Gerçeklenmesi 49 Mehmet Ali ÇAVUŞLU, Cihan KARAKUZU, Suhap ŞAHİN, Fuat KARAKAYA Kodsıfır Mikroçekirdeği 51 Bahadır BALBAN DPT Genel Amaçlı Gömülü Sistem Uygulama Platformu 53 Engin KARABULUT, Abid Üveys DANIŞ, Çağatay ÇATAL 3

Telsiz Bilgisayar Mimarisi Oğuz ERGİN, Yusuf Onur KOÇBERBER, Meltem ÖZSOY TOBB Ekonomi ve Teknoloji Üniversitesi, Bilgisayar Mühendisliği Bölümü, Ankara oergin@etu.edu.tr, yokocberber@etu.edu.tr, mozsoy@etu.edu.tr Özet Günümüzde bilgisayarlar işlemci, bellek ve giriş/çıkış aygıtlarından oluşur. Bilgisayarın kalbi olan işlemcinin kullanıcıyla iletişiminin sağlanması amacıyla pek çok giriş ve çıkış aygıtı tasarlanmış, işlemcinin bu aygıtlarla haberleşmesi için de bir ara yüz olarak ana kartlar düşünülmüştür. Daha fazla işlemcinin ve bellek modülünün çok sayıda giriş çıkış aygıtına bağlanmasını gerektiren bazı durumlarda tel ile birbirine bağlanacak aygıt sayısının artmasından dolayı karmaşıklık artmakta, bilgisayarı oluşturan işlemcilerin birbiriyle iletişim kurması zorlaşmaktadır. Bunun yanında bilgisayarı oluşturan parçalar ana karta uygun tasarlandığından bilgisayarın esnekliği azalmakta ve özellikle işlemci ve bellek birimlerinin yenilenmesi ya da sayısının artırılması fiziksel kısıtlar nedeniyle zorlaşmaktadır. Telsiz iletişim bilgisayarları birbirine bağlayarak ağlar oluşturmak için giderek daha fazla kullanılan yaygın bir yöntemdir. Ancak bugüne kadar bir bilgisayar bileşenlerinin birbiriyle tamamen kablosuz iletişmesi ve ana kartın ortadan kaldırılması hiç denenmemiştir. Böyle bir düşüncenin hayata geçmemesinin en önemli nedeni şüphesiz telsiz iletişimin telli iletişime oranla çok daha yavaş ve daha az güvenilir olmasıdır. Buna karşın yalnızca telsiz biçimde birbiriyle haberleşen bileşenlerden oluşan bir bilgisayar esnek ve modüler yapısıyla özellikle çok sayıda paralel uygulamalar çalıştıran süper bilgisayarlar için cazip bir seçenek olabilir. Bu makalede bileşenlerinin birbiriyle yalnızca telsiz iletişim yoluyla haberleştiği bir bilgisayar mimarisinin tanımlanması, böyle bir bilgisayarın ilk kavramının oluşturulması amaçlanmaktadır. İleri aşamalarda bilgisayarın işlemcisine, belleklerine ve giriş çıkış aygıtlarının tamamına birer telsiz iletişim yongası bağlanıp, güç kaynakları birbirinden ayrı olan ve belirli bir uzaklıkta bulunan bu birimlerin birbirleriyle anlaşarak bir bilgisayarı oluşturmaları sağlanabilir. Günümüzde telsiz iletişim hızları düşük olsa da gelecekte artacak iletişim hızları ve paralel işlem gereksiniminin artması ile telsiz bir bilgisayar mimarisinin kullanım alanları artacaktır. Yapacağımız bu çalışma gelecekte kullanılacak değişik bir bilgisayar yapısının farklı bir seçenek olarak oluşturulmasını amaçlamaktadır. 4

5 G ö m S i s 2 0 0 8

Genel Amaçlı 16 - Bit Gömülü Sistem Tasarımı ve Sentezlenmesi Ahmet ÖZMEN, İsmail GÜDENLER, Ercan DOĞAN Dumlupınar Üniversitesi, Elektrik Elektronik Mühendisliği Bölümü, Kütahya ozmen@dpu.edu.tr, igudenler@hotmail.com, edogan84@hotmail.com Özet Bu çalışmada, genel amaçlı gerçek zamanlı uygulamalarda kullanılabilecek 16 Bit veri ve adres yolu olan, bellek saklayıcı mimarisinde ve tamsayılarla işlem yapabilen bir gömülü sistem tasarlanıp gerçeklenmiştir. Gömülü sistemde 32 adet 16 bitlik saklayıcılar dizisi ve A (akümülatör) saklayıcısı bulunmaktadır. Bu saklayıcıların 14 ü sistem tarafından veya giriş/çıkış birimleri tarafından kontrol saklayıcısı olarak kullanılmakta olup, 18 i kullanıcıya bırakılmıştır. Sisteme, veri ve program belleği olarak 64 K Word dahili (sentezleme aşamasında eklenirse) veya harici bellek ilave edilebilmektedir. Sistem her biri bit bazında yönlendirilebilen 2 adet 16 Bitlik giriş çıkış iskelesi ile donatılmıştır. Ayrıca, dört farklı harici kaynaktan gelebilecek kesmeler için vektörlü kesmeyi desteklemektedir. Sistemde 16 Word derinliğinde bir yığın gerçeklenmiş olup, alt programlara ve kesme servis rutinlerine dallanmalarda geri dönüş adresi bu yığına atılmaktadır. İşlemci çarpma ve bölme komutları dahil 35 komut ile ihtiyaç duyulan tüm işlemleri en etkin bir şekilde gerçekleyebilmektedir. Komutlar yapısına göre ivedi, doğrudan, doğal, sıralı ve bellek ivedi adresleme modlarını kullanabilmektedir. Komutların adresleme modlarına göre icra süreleri: 2 (doğal), 3 (ivedi), 4 (doğrudan, sıralı), 5 (bellek ivedi) saat darbesi sürmekte; çarpma ve bölme işlemleri ilave olarak 16 saat darbesi daha almaktadır. Gömülü sistem modüler olarak kapı seviyesinde tasarlanmış olup, her bir modül son sisteme dahil edilmeden önce davranışsal eşleniği ile kapsamlı bir teste tabi tutulmuştur. Tasarlanan modüller ve tüm sistem Verilog HDL ile kodlanmış olup derleyici ve editör olarak XILINX ISE 10.1 ortamı kullanılmıştır. Modüllerin tasarım ve test aşamasından sonra, tüm işlemci simülasyon yoluyla test edilmiş ve üzerinde örnek programlar koşturulmuştur. Simülasyonda MODELSIM SE Verilog kullanılmış olup kesme girişlerini test etmek için ise XILINX ISE ortamındaki Test Bench Waveform yardımı ile farklı kesme girişlerine farklı anlarda kesme sinyali uygulanmıştır. Tüm test ve simülasyon aşamaları geçildikten sonra, gömülü sistem Xilinx HW SPAR3E SK geliştirme kartı kullanılarak sentezlenmiştir. Program yazmayı kolaylaştırmak için ayrıca bir farklı ortam derleyicisi (cross compiler) ve editörden oluşan programlama ortamı tasarlanmış ve C Sharp dili kullanılarak gerçeklenmiştir. Geliştirilen programlama ortamındaki editör, renk ve biçim unsurlarıyla simgesel dilde program yazmayı kolaylaştıran ve yazım hatalarını en aza indiren özelliklere sahiptir. Derleme işlemi bir butona basılarak yapılabilmekte ve icra edilebilir program gömülü sistemin belleğine bir başka butona basılarak indirilebilmektedir. Geliştirilen programlama ortamında oldukça karmaşık uygulamalar (iki boyutlu oyun, üç boyutlu küp) kodlanıp derlenmiş ve sistem üzerinde koşturulmuştur. Ayrıca, gelecek sürümlerde programlama ortamına hata ayıklayıcı ve simülatör ilave edilmesi planlanmaktadır. 6

7 G ö m S i s 2 0 0 8

FPGA Tabanlı Akıllı Anten Sistemi SmAnt Özgür TAMER, Ahmet ÖZKURT Dokuz Eylül Üniversitesi, Elektrik Elektronik Mühendisliği Bölümü, İzmir ozgur.tamer@deu.edu.tr, ahmet.ozkurt@deu.edu.tr Özet Algılayıcı dizisi huzme yönlendirme sistemleri iletişim teknolojilerinden sonar / radar uygulamalarına bir çok konuda uygulama alanı bulabilen sistemlerdir. Sistem başarımını artırmanın yollarından biri ise huzme yönlendirme algoritmasını alan programlamalı kapı dizileri (FPGA) üzerinde oluşturulmuş işlemciler üzerinde paralel olarak çalıştırmaktır. Böylece yoğun matris işlemleri içeren algoritmalar çok daha kısa zamanda sonuç vermektedirler. Projemiz huzme yönlendirme algoritmalarından QR ayrıştıtmasına dayalı özyinelemeli en küçük kareler (RLS) (QRD- RLS) algoritmasının, sistolik dizi yapısında programlanmış bir FPGA'e gömülü halde çalıştırılmasına dayanmaktadır. Paralel işlemcilere dayanan bu yaklaşımda anten huzmesini yönlendirmek için gerekli ağırlıklar çok hızlı bir şekilde elde edilmekte ve sistem başarımını artırmaktadır.. Çalışmada kullanılan sistolik dizide hücrelerden oluşan her sütuna sürekli bir veri akışı bulunmaktadır. İlk adım olarak çalıştırılan mode 1 durumunda veri matrisinin tüm sistolik dizi yapısına yerleşmesi sağlanmaktadır. Hücreler mode 2 durumunda çalıştırıldığında ise veri akışında öncelikle c ile ifade edilen zorlayıcı vektör sürülmekte ve antenlerin bakış açısı hücrelere uygulanmakta ve ardından da bir birim matris uygulanarak zorlayıcı vektörün tüm matrise doğru bir şekilde yayılması sağlanmaktadır. Daha sonra ise hücreler tekrar mode 1 durumunda çalıştırılmakta ve hedeflenen ağırlık değerleri sistolik dizinin çıkışından elde edilmektedir. Yukarıda bahsedilen sistolik dizi yapısı bir FPGA yapısına gömülü halde uygulanmıştır. FPGA'ye alıcı kart tarafından algılanan değerler bir I/Q kip çözücü yardımıyla karmaşık hale getirildikten sonra kart üzerinden bulunan ADC'ler yardımıyla sayısallaştırılarak aktarılmaktadır. FPGA'de gömülü olarak çalışan QRD RLS algoritmasının çıktısı olarak elde edilen ağırlık vektörleri de hem alıcı hem de verici katlara uygulanarak akıllı antenin dışında kalacak şekilde gösterilen iletişim sisteminin hüzme yönlendirmesi uygulanmış anten dizisinden yararlanması sağlanmış olur. Gömülü QRD RLS algoritması bir C++ kütüphanesi olan ve IEEE tatafından sistem benzetimi ve tasarımı için bir standart olarak kabul edilen SystemC ortamında oluşturuluştur. Böylece hem çok daha üst seviye bir tasarım ortamı olan C++ kullanılmış ve benzetim ve uygulamanın aynı ortamda gerçeklenmesi sağlanmış hem de sabit noktalı işlem gibi işaret işleme başarımını artıracak özelliklerin kullanımı mümkün olmuştur. Hücreler SystemC ortamında nesne olarak tanımlanmış ve bu nesnelerin arasında kurulan köprülerle de sistolik dizi yapısı oluşturulmuştur. Geliştirilen sistolik dizi yapısı daha sonra EDIF'e çevrilmiş ve FPGA üreticisi tarafından sunulan yazılım kullanılarak sentezlenmiş ve FPGA'ye aktarılmıştır. Aşağıda bu aktarımın sonucu yapılan denemelerde elde edilen sonuçlar görülmektedir. Bu çalışmada Akıllı anten sisteminin ağırlıklarını hesaplayarak dizinin huzmesinin istenilen şekilde oluşmasını sağlayan QRD RLS tabanlı uyarlanır dizi algoritması SystemC kütüphanesi kullanılarak geliştirilmiş ve FPGA üzerinde gerçeklenmiştir. Anten dizisinden alınan RF işaretler dörtlü kip çözücü yardımıyla kompleks işaret haline dönüştürüldükten sonra analog sayısal çeviriciler yardımıyla uyarlanır dizi algoritmasının yüklendiği FPGA'e aktarılmaktadır. FPGA çıkışlarında oluşan kompleks ağırlıklar ise dörtlü kipleyiciler yardımıyla RF işaretlere çevrilerek huzmesi yönlendirilmiş anten örüntüsü oluşturulmaktadır. Bu yolla alınan ölçüm sonuçları Şekil 3 te sunulmaktadır. Hücre yapılarının içerdiği kompleks karekök ve kompleks bölme gibi işlemler, FPGA kaynaklarının daha verimli kullanılabilmesi için CORDIC tabanlı işlemler haline getirilerek bir kütüphane oluşturulmuş ve kullanılmıştır. Geliştirilen QRD RLS algoritması tabanlı huzme yönlendirici 5 temel hücre yapısına dayanan bir algoritmadır. Yeni hücrelerin eklenmesi ile algoritma daha büyük anten dizileri için de uygun hale getirilebilir. 8

9 G ö m S i s 2 0 0 8

Asenkron Makinanın Alan Yönlendirme Kontrolünde FPGA Kullanımı Özkan AKIN, İrfan ALAN Ege Üniversitesi, Mühendislik Fakültesi, Elektrik & Elektronik Mühendisliği Bölümü, İzmir ozkan.akin@ege.edu.tr Özet Bu çalışmasında bir asenkron makinanın alan yönlendirme kontrolünde programlanabilir kapı dizileri (FPGA) kullanımının fizibilite çalışması yapılmıştır. Öncelikle asenkron makinanın dolaylı alan yönlendirme kontrolü nümerik olarak ACSL simülasyon programı aracılığı ile simüle edilmiştir. Daha sonra ilgili kontrol Matlab Simulink ortamında geliştirilip Xilinx System Generator desteği kullanılarak Xilinx Spartan 3 xc3s200 FPGA kartında gerçeklenebilecek tarzda modellenmiştir. Ayrıca Alan Yönlendirme Kontrolü Çok Yüksek Hızlı Tümleşik Devre Donanım Tanımlama Dili (VHDL) dili kullanılarak da kodlanmıştır. Tasarlanan sistemde kullanıcı giriş, çıkış ve ara algoritma katmanlarını kısa sürede değiştirebileceğinden esnek ve modüler bir yapıya sahiptir, dolayısıyla diğer vektör kontrol modellemelerine de kolaylıkla geçilebilir. Asenkron makinanın kontrolünde kullanılan dolaylı alan yönlendirme kontrolü Digilent firması tarafından üretilen Digilab S3 Spartan 3 FPGA geliştirme kitinde gerçeklenmeye hazır hale getirilmiştir. Geliştirilen sistemin kontrol performansı asenkron makinanın değişik yüklerinde ve çeşitli çalışma hızlarında Simulink ortamında testleri yapılmıştır. 10

11 G ö m S i s 2 0 0 8

ADİS Acil Durum İkaz Sistemi Agah Burak DEMİRKAN, Ahmet ÇOBAN, Ahmet SÖNMEZ, Uğurhan KUTBAY Gazi Üniversitesi, Elektrik Elektronik Mühendisliği, Ankara agahburak@demirkan.com Özet ADİS, 32 bit kelime uzunluğu ve pipeline yapısına sahip olan iei-32 işlemcisi sayesinde deprem sel gibi beklenmedik tabi afetler söz konusu olduğunda sensörler vasıtası ile algılama yapıp devreye girerek iletişimin belirlenen acil durum merkezleri arasında sürekliliğini sağlayacak var olan şebekelerden bağımsız bir haberleşme sisteminin kontrolcüsüdür. Sistem VHF bandı üzerinden iletişim sağlayacak, gerekirse data şifreleme yapabilecek altyapıya sahip data ve ses aktarımı yapabilen, direct digital synthesis tabanlı bağımsız bir gömülü sistemdir. ADİS, PLL tabanlı alıcı, verici ve SMPS kontrollü güç ünitesi olmak üzere 3 bölümden oluşmaktadır. Sistemde; nem, rüzgar, sıcaklık ve deprem bilgileri sensörler aracılığıyla kontrol edilmektedir. Güneş enerjisi ile kendisini otomatik olarak şarj eden ADİS, 110 ile 150 MHz frekans aralığında çalışabilmekte ve 4800 Baud Rate narrowband, frekans atlama özelliği, ses ve data transferi özelliklerine de sahiptir. 12

13 G ö m S i s 2 0 0 8

Secure Deniz TAŞKIN 1, Cem TAŞKIN 1, Nurşen SUÇSUZ 2 1 Trakya Üniversitesi, Bilgisayar Mühendisliği Bölümü, Edirne 2 Trakya Üniversitesi, Tunca Meslek Yüksek Okulu, Edirne deniztaskin@trakya.edu.tr, cemtaskin@trakya.edu.tr, nursen@trakya.edu.tr Özet Görsel veriye artan ilgi sebebiyle video dosyaları günlük yaşantıda kullanımı artmakla birlikte video dosyalarının güvenliği de ön plana çıkmaktadır. Video dosyaları dışında, gerçek zamanlı ve güvenlik gereksinimi yüksek video konferans oturumlarının güvenliği de sağlanması da günümüzün önemli konularındandır. Uzunca bir süredir metin dosyalarının güvenliğini başarılı şekilde koruyan geleneksel şifreleme yöntemleri, video verisinin güvenliğini sağlamak için yetersiz kalmaktadır. Bu yöntemler video verisine uygulandığında, şifreyi kırmak isteyen kişilere açık noktalar bırakmaktadır. Video verisi büyük alan kapladığı için şifreleme süresi de uzamaktadır. Bu süre çoğunlukla gösterim süresini aşmaktadır ve gerçek zamanlılıktan uzaktır. Bu çalışmada, kısmi şifreleme temelli hızlı ve bağımsız bir çekirdek tasarlanarak performansı en yüksek seviyeye çıkarma hedeflenmektedir. Projenin gerçek yaşamda video konferans, uydu sistemleri, depolama güvenliği ve bütünlüğü gibi birçok uygulama alanı vardır. 14

15 G ö m S i s 2 0 0 8

Gerçek Zamanlı Video İşleyen Yeni Bir Hücresel Sinir Ağları Emülatörü Kamer KAYAER, Vedat TAVŞANOĞLU Yıldız Teknik Üniversitesi, Elektronik ve Haberleşme Mühendisliği Bölümü, İstanbul kayaer@yildiz.edu.tr, tavsanav@yildiz.edu.tr Özet Hücresel Sinir Ağları (HSA) iyi tanımlanmış bir yapay sinir ağı yapısıdır ve özellikle görüntü işleme uygulamalarında kullanılmaktadır. HSA yapısında, görüntüdeki her bir piksele bir hücre karşı düşer ve hücreler görüntü işlemeyi paralel (eş zamanlı) çalışarak gerçekleştirirler. Bu paralel çalışmayı sağlamak için çip üzerinde görüntüdeki piksel sayısı kadar işlemci gerçeklenesi gerekir. Bu çok sayıdaki işleci yapısının çip üzerinde gerçeklenebilmesi, dijital işlemcilere göre çok daha az yer kaplayan analog işlemciler (hücreler) kullanılarak mümkün olmaktadır. Bu şekilde gerçeklenmiş tümdevrelerin en üstün yanı işlem hızlarının çok yüksek olmasıdır. Ancak bu güne kadar gerçekleştirilmiş en gelişmiş tek katmanlı HSA analog çipi (ACE16k) 128 128 hücre içermektedir ve çipin eşdeğer dijital doğruluğu 7-8 bit dir. Günümüzün görüntü işleme uygulamalarının daha yüksek çözünürlüklere ihtiyaç duyması, analog gerçeklemelerin gürültü ve sıcaklık değişimlerine dijital eşdeğerlerine göre daha duyarlı ve üretim maliyetlerinin çok daha yüksek olması araştırmacıları HSA yapısının dijital emülasyonları üzerinde çalışmaya yöneltmiştir. Tasarlanan emülatör yapılarının FPGA tümdevreleriyle gerçeklenmesi ise paralel çalışan işlemcilerin FPGA ile oluşturulabilmesi, yeniden yapılandırılabilmeleri ve maliyetlerinin düşük olması açısından oldukça uygundur. Bu çalışmada, gerçek zamanlı video işleyen yeni bir HSA emülatörü yapısı önerilmiş ve FPGA ile gerçeklenmiştir. Yapının temel özellikleri; FPGA dışında bir bellek elemanı (RAM) kullanmaması, her bir pikseli üç saat darbesinde işlemesi ve çıkışın hesaplanması için yapılan Euler iterasyonu sayısının gerçeklenen işlemci sayısı ile belirlenmesidir. Dış bellek kullanılmaması sistemin karmaşıklığını ve maliyetini düşürmektedir. Sistem çok sayıda hücre içeren ve 3 3 şablonlarla çalışan bir HSA yapısının emülasyonunu pipeline olarak çalışan bir tek hücre ile gerçekleştirir. Bu hücre paralel olarak çalışan ve birbirine kaskad bağlı birçok işlemci biriminden oluşur. Her işlemci biriminde hücrelerin durum değerlerini saklayan üç adet RAM bloğu mevcuttur. Görüntü verisi emülatöre (FPGA e) VGA, DVI, dijital arayüzlü CMOS kamera vb. sıralı (progressive) veri ileten bir protokol ile iletilmelidir. PAL, SECAM ve NTSC gibi görüntüyü atlamalı (interlaced) olarak ileten standartlar bu yapı için uygun değildir. Piksel verilerinin sisteme girmesi, işlenmesi ve çıkışı eş zamanlı olarak gerçekleştirilir. Video çıkış işareti birkaç video satırı gecikme ile video giriş işaretine senkrondur. Bu gecikme sistemin pipeline yapısından kaynaklanmaktadır. Emülatör yapısı Celoxica firmasını RC203 kartı üzerinde bulunan Xilinx firmasını Virtex-II 3000 FPGA tümdevresiyle gerçeklenmiş ve bir kenar belirleme uygulamasında kullanılmıştır. Uygulama için gerçeklenen sistem 640 480 piksel 60 fps 9 bit gri tonlamalı VGA videoyu, 18 bit 3 3 HSA şablonlarıyla gerçek zamanlı olarak işleyerek sonuç videoyu bir VGA monitörde gösterir. Xilinx ISE yazılımının Place and Route raporuna göre gerçeklene sistemin Virtex-II 3000 üzerinde çalışabileceği maksimum saat frekansı yaklaşık 123 MHz dir. Yapı bir pikseli üç saat darbesinde işlediği için emülatör saniyede 41 Mega piksel (123/3) veriyi işleyebilmektedir. Emülaörün hızı FPGA deki çarpıcı bloklarının hızı ile sınırlanmış olduğundan emülatörün lojik yapısında gerçekleştirilecek değişikliklerle daha fazla hızlanması sağlanamaz. Virtex-II 3000 üzerinde oluşturulabilecek maksimum işlemci sayısı her işlemcide üç adet çarpıcı ve üç adet blok RAM kullanılması nedeniyle 32 (96/3) adettir ve yapı işlemci sayısının bir eksiği kadar Euler iterasyonu gerçekleştirebilmektedir. Sonuç olarak bu yapı Virtex-II 3000 FPGA i ile 3 3 şablonlara sahip tek katmanlı bir HSA yapısının dijital emülasyonunu 9 bit piksel, 18 bit şablon değerleri ve 31 Euler iterasyonu ile gerçekleştirebilmektedir. 16

17 G ö m S i s 2 0 0 8

Güvenli Fotoğraf Makinesi Tasarımı ve FPGA da Gerçeklenmesi Oğuz ŞEN, Müştak E. YALÇIN İstanbul Teknik Üniversitesi, Elektrik-Elektronik Fakültesi, Elektronik ve Haberleşme Müh. Bölümü, İstanbul oguzsen@msn.com, mustak.yalcin@itu.edu.tr Özet Bu çalışmada, çektiği fotoğrafın çekildiği andaki kriptografik özünü hesaplayarak fotoğraf içine gömen ve bu sayede fotoğraf üzerinde sonradan yapılacak her türlü değişikliğin anlaşılmasını sağlayan Güvenli Fotoğraf Makinesi tasarımı ve FPGA (Sahada Programlanabilir Kapı Dizileri) üzerinde gerçeklemesi yapılmıştır. Giderek sayısallaşan fotoğrafçılık ve bu fotoğrafları düzenlemeye yarayan bilgisayar programlarındaki gelişmeler, her gün gördüğümüz onlarca fotoğrafın orijinalliğinde kuşku yaratıyor. Böyle bir ortamda bilginin bütünlüğünün garantilenebilmesi özellikle de bazı uygulama alanlarında giderek önem kazanmaktadır. Tasarlanan ve gerçeklenen Güvenli Fotoğraf Makinesi sayısal fotoğrafların kullanıldığı önemli noktalarda verinin bütünlüğünü yani fotoğrafın çekildiği andan sonra değiştirilmediğini garanti edebilmektedir. Sayısal fotoğrafın üzerinde çekildiği anda AES (ileri şifreleme standardı) tabanlı 128 bitlik bir kriptografik hash (öz) fonksiyonu koşturulmaktadır. Bu tek yönlü fonksiyon sonucu, sadece Güvenli Fotoğraf Makinesi ve sistem sahibi kurumda bulunan bir anahtar kullanılarak hesaplanabilir. Çekilen fotoğraf sistem sahibi kuruma ulaştığında fotoğraf üzerinde aynı fonksiyon aynı anahtar ile koşturularak beklenen öz değeri hesaplanır ve fotoğraf içine gömülen değer ile karşılaştırılır. Uyuşmazlık durumunda fotoğraf üzerinde çekildiği andan sonra bir değişiklik yapıldığı belirlenmektedir. Değerlerin birbirini tutması ise fotoğrafın orijinalliğini garanti etmektedir. Gerçeklenen fotoğraf makinesinin çektiği fotoğraflar şifrelenmiş formatta değildir, yani çekilen fotoğraflar herkes tarafından görülebilmektedir. Ancak çekilen bu fotoğraflar bilgisayarda herhangi bir fotoğraf düzenleme programı tarafından herhangi bir şekilde değiştirilirse, beklenen gerçek öz değeri hesaplanıp fotoğraf içine gömülemediğinden sistem sahibi kurum bu değişikliği fark edebilmektedir. Güvenli Fotoğraf Makinesi FPGA üzerinde gerçeklenmiştir. Tasarlanan sayısal sistemin tamamı VHDL ile kodlanmıştır. Gerçekleme için bir kamera modülü FPGA geliştirme kitine bağlanmış ve fotoğraf çekme işlemi gerçekleştirilmiştir. Ardından çekilen fotoğraf FPGA geliştirme kiti üzerinde bulunan RAM e yazılmış ve fotoğraf piksel bilgisi üzerinde tek yönlü kriptografik hash fonksiyonu koşturulmuştur. Fonksiyon çıktısı öz değeri, fotoğraf standartlarına bağlı kalınmak üzere fotoğraf başlık bölgesinde oluşturulan yeni alana gömülmüştür. Doğrulama işlemi Matlab ve Xilinx benzetim ortamında yapılmaktadır. Yazılan kod, fotoğrafın içinde gömülü olan öz değer ile kendi elde ettiği Hash fonksiyonu sonucu öz değeri karşılaştırarak fotoğrafın orijinal olup olmadığını tespit etmektedir. Bu sayede sistem sahibi kurum olası yanıltma teşebbüsünden korunmaktadır. Projenin; özellikle askeri ve adli alanda, ayrıca görsel medyada uygulama alanı bulabileceği düşünülmektedir. 18

19 G ö m S i s 2 0 0 8

FFT Algoritmalarının FPGA Üzerinde Gerçeklenmesi Tuba AYHAN, Müştak E. YALÇIN İstanbul Teknik Üniversitesi, Elektrik-Elektronik Fakültesi, Elektronik ve Haberleşme Müh. Bölümü, İstanbul ayhant@itu.edu.tr, mustak.yalcin@itu.edu.tr Özet Bu çalışmada, FFT (Fast Fourier Transform - Hızlı Fourier Dönüşümü) algoritmaları, sayısal işaret işleme alanında da kullanımı gittikçe yaygınlaşan FPGA üzerinde gerçeklenmiştir. FFT, sayısal işaret işlemenin tüm alt dallarında temel bir algoritma olarak karşımıza çıkmaktadır. Dolayısıyla, haberleşme sistemlerinden görüntü işlemeye, biyomedikal işaret işlemeden sonar ve radar uygulamalarına kadar bir çok alanda Fourier dönüşümünü sağlayan bir işlemciye ihtiyaç duyulmaktadır. Bu çalışmada, tek bir frekans için genlik değeri hesaplanmakta kullanılan Goertzel FFT Algoritması, 32 frekans noktasına kadar FFT işlemlerini kolaylaştıran Rader FFT Algoritması ve daha fazla noktada Fourier dönüşümü için sıklıkla kullanılan Cooley-Tukey FFT Algoritmaları incelenmiştir. Her bir algoritma, kullanım alanlarına, sağladığı kolaylıklara, avantajlarına ve dezavantajlarına uygun farklı tasarım blokları kullanılarak FPGA üzerinde gerçeklenmiştir. Her bir algoritma için gerçekleme süreci ise benzerdir. Analog işaret 8 bit ADC kullanılarak 8kHz ile örneklenmiş, FPGA üzerinde FFT bloğunda kullanılabilecek bir sayı formatına dönüştürülmüştür. FFT bloğunun çıkışı ise hedef sisteme uygun bir formata çevrilmiştir. DTMF uygulamalarında kullanılan Goetzel FFT Algoritması gerçeklenirken, sonuçların kesinliği öncelikli olarak gözetildiğinden, işlemler kayan noktalı aritmetiğe göre yapılmış, sözcük uzunluğu 32 bit seçilmiştir. FFT bloğunun çıkışı ise giriş işaretinin içinde aranan frekansın olup olmadığını gösterebilmek için uygun eşik değeri ile karşılaştırılıp, monitöre 1 veya 0 olarak verilmiştir. Daha fazla noktada (16-32) dönüşüm yapılmak istendiğinde Fourier dönüşümünü dairesel konvolüsyonla ifade eden Rader FFT Algoritması, gerçeklemesi kolay ve sonuçları sağlıklı bir algoritma olduğu için tercih edilir. Sonuçlarda büyük sapmalar görünmediğinden sabit noktalı aritmetik kullanılmış, sözcük uzunluğu ise 16 bit olarak seçilmiştir. FFT bloğunun çıkışları 8 bite indirilip, DAC den geçirilerek osiloskopta gözlenmiştir. Cooley-Tukey FFT Algoritması gerçeklenirken ise nokta sayısı arttığından, FPGA içinde bulunan blok RAM ler kullanılmıştır. Dolayısıyla sözcük uzunluğunu belirleyen blok RAM olmuştur. Önceki algoritmalardan farklı olarak Cooley-Tukey FFT Algoritması, bir frekans değerine ait fazı da hesaplar. Bu da işlemlerin karmaşık sayılarla yapılmasını gerektirir. 16 bit sözcük uzunluklu kayan noktalı aritmetiğe göre karmaşık toplama ve çarpma alt blokları oluşturulmuş, bunlar FFT bloğunda kullanılmıştır. FFT bloğunun ModelSim ile benzetimi yapılmış, çıkışlar MATLAB a aktarılarak test edilmiştir. FFT bloklarının gerçeklenmesi diğer işaret işleme uygulamaları için bir ön hazırlık olarak düşünülebilir. Sayısal işaret işleme uygulamasına bağlı olarak bu bloklar olduğu gibi ya da birbiri ile birleştirilerek kullanılabilirler. 20

21 G ö m S i s 2 0 0 8

CRYPTODIN Kriptolu Yazılımsal Mikroişlemci Tolga AYAV, K. Atilla TOKER, Gökhan AKSAKALLI, Nurtürk HARSA İzmir Yüksek Teknoloji Enstitüsü (İYTE), Bilgisayar Mühendisliği Bölümü, İzmir tolgaayav@iyte.edu.tr Özet CryptOdin, özellikle gömülü sistem uygulamaları için tasarlanmış ve şifrelenmiş (kriptolu) program kodlarını çalıştırabilen 16 bitlik bir yazılımsal mikroişlemcidir. CryptOdin in temel özelliklerini aşağıdaki gibi sıralayabiliriz: 16 bit adres ve 16 bit veri yolu Harvard Mimarisi 32 adet kayıtçı 38 adet komut 16 bit ve 32 bit olmak üzere iki farklı uzunlukta komut Pipelining Max. 68 MHz saat frekansı Kriptolu program çalıştırabilme CryptOdin yapısal ve davranışsal programlama modelleri kullanılarak VHDL dilinde kodlanmış, hedef FPGA modeli Spartan3E - xc3s500e olarak seçilmiştir. Buna göre FPGA kullanımıyla ilgili sentezleyici raporu aşağıdaki gibi elde edilmiştir: Number of Slices: 1020 out of 4656 21% Number of Slice Flip Flops: 815 out of 9312 8% Number of 4 input LUTs: 891 out of 9312 20% Number of IOs: 70 Number of bonded IOBs: 70 out of 92 76% IOB Flip Flops: 1 Number of MULT18X18SIOs: 1 out of 20 5% Number of GCLKs: 1 out of 24 4% Zamanlamayla ilgili rapor ise: Min. clock period: 14.511ns (Max. frequency: 68.912MHz) şeklinde elde edilmiştir. Gömülü sistemlerde son derece önemli olabilecek konulardan biri de algoritmanın gizlenmesidir. Örneğin çok farklı özelliklere ve fonksiyonlara sahip askeri amaçlı bir donanımın içerisinde çalışmakta olan programın gizlenmesi büyük önem taşıyabilir. Bu durumda kullanılabilecek yöntemlerden biri algoritmanın bir bütün olarak içerisine gömüldüğü özel amaçlı bir entegre devre (ASIC) tasarlamaktır. Bu yöntemin dezavantajı entegrenin uygulamaya yönelik olması ve algoritmada yapılacak en küçük bir değişiklikte entegre devrenin tasarımının değişmesi ve yeniden üretim sürecine girilmesi zorunluluğudur. CryptOdin, harici hafızada şifrelenmiş olarak saklanan program kodunu çalıştırarak en üst seviyede güvenlik sağlayacaktır. Mikroişlemcinin ters mühendislik yöntemleriyle analiz edilmesini engelleyecek önlemlerin alınmasıyla elde edilecek güvenlik, kullanılacak şifreleme standartının gücüne bağlı olacaktır. 22