DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI



Benzer belgeler
DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER

Xilinx ISE Webpack 14.7 Kurulum Tutorial

Teknik Resim Çıkartılması

Gerekli bağlantıları yapıp, ACS420 V3.03 programını çalıştırınız. Program açıldığında, LMS14 ün içindeki parametrelerin okunmasını bekleyiniz.

BİLGİSAYAR DESTEKLİ TASARIM II

BİL BİLGİSAYAR PROGRAMLAMA (JAVA)

PlayOnMac Dowload System Preference Security&Privacy clickthelocktomakechnages (değişiklik yapmak için kilidi tıklayın) Allow apps downloaded from:

OYAK YATIRIM FX Meta İşlem Platformu Kullanma Kılavuzu

JOBCONTROL KURULUMU. 2 numaralı görüntüde kırmızı daire içerisine alınmış kısımda, bilgisayarınızın kaç bit olduğunu görebilirsiniz.

A1FX MT4 İşlem Platformu Kullanma Kılavuzu

İSTANBUL AYDIN ÜNİVERSİTESİ ONLINE EĞİTİM BİRİMİ. Online Derslere Giriş Kılavuzu

EAGLE KÜTÜPHANE OLUŞTURMA

ÖĞRENME FAALİYETİ 1 ÖĞRENME FAALİYETİ 1

Ecza Depolarına Ait E-Fatura Aktarım Modülü

T.C. istanbul ÜNiVERSiTESi ÖĞRENCi BiLGi SiSTEMi. ÖĞRETiM ELEMANI KULLANIM KILAVUZU

Kablosuz N USB Adaptör

Cihazınızın İnternet ayarlarını yapabilmek için lütfen aşağıdaki adımları takip ediniz;

KAMPÜS DIŞI ERİŞİM AYARLARI

Nova Dental D Ana Makine Kurulum (Windows Server XP Home/Pro)

ZEBEX 107X EL TERMİNALLERİNE FIRMWARE YÜKLEME

KÖHLER. Okuma Programı Kullanma Klavuzu v 2.86 TEL: TEL: FX: FX:

Harita güncelleme direktifleri

10.2 VERİYONUNUN KURULABİLMESİ İÇİN EĞER VARSA ÖNCEKİ. ArcGIS SÜRÜMLERİNİN PROGRAM EKLE-KALDIR SEÇENEĞİYLE

Her bir ofis programının olduğu gibi FrontPage inde bir dosya uzantısı vardır. Bunlar *.html veya *.htm dosya uzantılarıdır.

KOCAELİ TEKNİK LİSESİ ELEKTRİK ELEKTRONİK BÖLÜMÜ OTOMASYON ATÖLYESİ EKTS (Elektrik Kumanda Teknikleri Simülatörü ) DERS NOTU. Kaynak :

BÖLÜM 23 TD F8 F4 SHIFT ESC ENTER M1.7 M1.6 M1.5 M1.4 M1.3 M1.2 M1.1 M1.0 F8 F7 F6 F5 F4 F3 F2 F1. Shift + F1

NPratik Yazılım Kurulum Kılavuzu. Yedekleme İşlemi

Mac OS İşletim Sisteminde MetaTrader4 Kurulumu

CAEeda TM ONERA M6 KANADI NAVIER-STOKES ÇÖZÜMAĞI OLUŞTURMA VE ÖNİŞLEM. EDA Tasarım Analiz Mühendislik

2016 Bahar Dönemi. İşveren ve İşveren Vekili için. İş Sağlığı ve Güvenliği Hizmetleri. e-sertifika Programı. Başvuru Kılavuzu

SHERIFF PROTEK V7.37

İSTANBUL ECZACI ODASI BİLGİ İŞLEM BİRİMİ

INTERNET BAĞLANTISININ KURULMASI İÇİN GEREKLİ YÖNLENDİRİCİ AYARLARI

SATFINDER SF03HD DRİVER FREKANS VE YAZILIM YÜKLEME TALİMATI DRİVER YÜKLEME TALİMATI FREKANS YÜKLEME TALİMATI YAZILIM YÜKLEME TALİMATI SIGNAL METER

Tavsiye Edilen Önhazırlık Veritabanı kavramını öğrenmek

Smartnet PRO-W Kullanım Kılavuzu

TSOFT FACEBOOK STORE UYGULAMASI

Anadolu Üniversitesi Sınav Hizmetleri Sınav Kılavuzu. Bilgisayar Araştırma ve Uygulama Merkezi

Spss 14 İçin İhtiyaç Duyulan Minimum Donanım Ve Yazılım Gereçleri; SPSS 14.0 programını License sunucusu üzerinden kurulumu:

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

1. Bilgisayarınızda kullandığınız Web tarayıcı programını (Internet Explorer, Mozilla Firefox vb.) çalıştırınız.

Flash ile Etkileşimli Öğretim Materyali Hazırlama Semineri

Anadolu Üniversitesi Sınav Hizmetleri Sınav Kılavuzu Bilgisayar Araştırma ve Uygulama Merkezi

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

Lanschool Sınıf yönetim yazılımının (V7.4) Ncomputing sistemlerinde kullanılması

ÖĞRETİM ELEMANLARI İÇİN ESKİ MOODLE DAN YENİ MOODLE A DERS TAŞIMA ADIMLARI

Microsoft Outlook 2003 Kurulumu

CAEeda TM OM6 KANADI MODELLEME. EDA Tasarım Analiz Mühendislik

AirTies Kablosuz Erişim Noktası (Access Point) olarak kullanacağınız cihazı bilgisayarınıza bağlayarak ayarlarını yapabilirsiniz.

CAEeda ÇÖZÜMÜ YAPILMIŞ NACA 0012 KANADI İÇİN 2B ÇİZİM EĞİTİM NOTU. EDA Tasarım Analiz Mühendislik

4006 Bilim Fuarı Proje ve Sonuç Bilgileri Aşaması Başvuru Adımları

Kumanda ve ProgDVB için Kolay Kurulum

MÜHENDİSLİ ÇİZİMLERİ LABORATUVAR UYGULAMASI

Bu uygulama saatinde, dinamik sistemlerin simülasyonu (benzetimi) için geliştirilmiş olan, oldukça kullanışlı bir arayüz, Simulink, tanıtılacaktır.

HBYS üzerinden PACS a erişim ve kullanım açıklaması.

LAB 0 : Xilinx ISE Kullanımı

1 OTOMASYON DÖNÜŞÜM İŞLEMLERİ

Kullanım Kılavuzu

Öğrenci Kullanım Kılavuzu

Scream! e gelen veri akışlarından bazılarını diğer bir kurum yada bilgisayarla paylaşmak için kullanılabilir.

2000 de Programlarla Çalışmalar

BEUN VPN Hizmeti. VPN Nedir?

Hızlı Başlangıç: Ses ve Görüntüyü kullanma

Programlanabilir Devreler

EKLEME SORGUSU. 2) ornekveritabani.accdb isimli veritabanınızı çift tıklayarak açınız. Sorarsa, İçeriği Etkinleştir komutunu uygulayınız.

MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK

Kaynak Kodlardan Derleme. Turquaz Muhasebe. Versiyon 0.2. Hüseyin Ergün. 26 Mart 2005

KWorld PlusTV Analog USB Stick

Bu bölüm V- Ray License Server lisans sunucusu uygulamasının kurulumundan

ELEKTRONİK BELGE YÖNETİM SİSTEMİ KOORDİNATÖRLÜĞÜ (EBYS KOORDİNATÖRLÜĞÜ) ELEKTRONİK İMZA KURULUM AŞAMALARI VE EBYS PROGRAMI SİSTEM GEREKSİNİMLERİ

BU CİHAZ BİLGİSAYAR BAĞLANTILI SİSTEM OLMAYIP, BAĞLI OLDUĞU BİLGİSAYAR İLE DEVAMLI İLETİŞİM YAPMAMAKTADIR. Mali Onaylı Yazarkasa

PSPICE Đ NASIL KULLANIRIM

1. Daha önce bilgisayarınıza USB bağlantı noktasından hiç kurup kullanmadığınız bir USB yazıcı paylaşacaksanız, lütfen tıklayınız.

Anadolu Üniversitesi Sınav Hizmetleri Sınav Kılavuzu. Bilgisayar Araştırma ve Uygulama Merkezi. YÖK Uzman Yardımcılığı Giriş Sınavı

OFFICE COMMUNICATOR KURULUMU...

MİKROSAY YAZILIM VE BİLGİSAYAR SAN. TİC. A.Ş.

Donanım Bağımsız Kurtarma

MATRİKS VERİ TERMİNALİ GELİŞMİŞ ALARM

Foobar2000. Bu yazının hiçbir hakkı mahfuz değildir. Istediğiniz gibi kulanabilirsiniz. Foobar Mart

Bu Metatrader rehberi, sizin programı kurarken ve kullanırken daha kolay hareket etmeniz amacıyla hazırlanmıştır.

1. Kurulum ve ilk kez çalıştırma

İçindekiler. Akıllı Tahta Uygulaması (ATU) Kullanma Kılavuzu. İçindekiler. Bölüm 1: Uygulama Hakkında Genel bilgi 2

AirTies Kablosuz Erişim Noktası (Access Point) olarak kullanacağınız cihazı bilgisayarınıza bağlayarak ayarlarını yapabilirsiniz.

1. BELGE İŞLEMLERİ. Şekil 1.2: Open Office ilk açıldığında gelen ekran

1. TANIMLAR 1.1 Laboratuvarım

BLGM 354 DENEY 1 * GİRİŞ

Cadence OrCAD Kurulum ve Simulasyon

Elektronik Kitap İndirme Kılavuzu. EBSCO ebooks.

U y g u l a m a A i l e s i (Abakus 360, T-Panel, T-CRM) Tarayıcı Ayarları. IPera İletişim Teknolojileri

KWorld. PlusTV DVB-S USB. PlusTV DVB-S USB Kurulum Kılavuzu. İçindekiler

CAPTURE Capture kısmını açtığımızda karşımıza Capture session frame gelir (Şekil 4.1.).

Access Point Mod Kurulumu

Harita Güncelleme MODEL. - Model ; DAİİCHİ üniteye sahip olan TÜM modeller PROSEDÜR. 1. Öncelikle bilgisayarınızdan

Zotero hakkında özet bilgi Hazırlayan Barbaros Akkurt Türkiye Kimya Derneği Bilimsel Yayınlar Sorumlusu Harbiye-İstanbul Kasım 2017

Animasyon Teknikleri, Ses ve Video İşlemleri 1 / 18

FortiGate Sertifika Yönetimi. v4.00-build /02

VISION LINK PROGRAMINDA ŞANTİYE SINIRI VE BÖLGE UYARILARI NASIL KURULUR?

UNIVERSAL REPEATER (TEKRARLAYICI/GENİŞLETİCİ MODU)

Transkript:

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI DENEY I: QUARTUS II TASARIM ORTAMINA GİRİŞ VE VHDL TEMELLERİNİ TANIMA Amaçlar: Bu deneyde ALTERA tarafından geliştirilen en son Elektronik Tasarım Otomasyonu yazılımlarından biri olan QUARTUS II yi kullanmaya başlayacağız. QUARTUS II nin tasarım arayüzü ve VHDL diline yönelik en temel kavramları öğreneceğiz. Deney Öncesi Çalışma: Aşağıda verilen deney çalışmasını dikkatlice okuyunuz ve eğer mümkünse deney aşamalarını laboratuara gitmeden önce denemeye çalışınız.. Deney Çalışması: Aşağıda verilen açıklamalar sizin için QUARTUS II tasarım ortamına yönelik detaylı bir rehber niteliğindedir. Bu açıklamaları takip ederek QUARTUS II tasarım ortamında bir proje başlatabilir ve bu proje ile ilgili temel tasarım aşamalarını gerçekleştirebilirsiniz. Adım 1. Proje Tanımlanması: QUARTUS II ortamındaki her tasarım bir proje çercevesinde olmalıdır. Bir proje, projenin adı, projenin bulunduğu kütük (directory) tasarımı amaçlanan fiziksel sitemin adı, tasarım dosyaları, kütüphaneler, zamanlama bilgisi, devre elemenları, v.s. den oluşur. QUARTUS II üçünçü taraflarca sağlanan tasarım otomasyonu araçlarının kullanımına izin verir. Şimdi, once sisteminize yüklenmiş bulunan QUARTUS II yazılımını başlatalım ve yazılımın açılış ekranından [File]->[New Project Wizard] seçeneğini çalıştıralım. Aşağıda görünümü verilen ekran karşınıza çıkacak ve size yeni bir proje tanımı için gereken içerik sunulaçaktır.

[Next] seçiniz : Çalıştığınız kütüğün adını, projenizin adını, tasarlayacağınız sistemin adını soran bir pencere ile karşılaşacaksınız. İlgili yerleri uygun şekilde doldurunuz.

Örneğin; çalıştığınız kütük ismi olarak c:\benimdosyam\blgm223\projeler yazabilirsiniz. Bu sizin projeniz ile dosyaların saklandığı kütük olacaktır. Sonraki kutucuğun içine Quartus_Proje1 yazabilirsiniz. Quartus_Proje1 projenizin ismi olacaktır. Üçüncü kutucuğun içine BenimIlkDevrem yazarak tasarımını yapacağınız sistemin ismini de belirleyebilirsiniz. Bir projenin içinde birden fazla sistem bulunabilir ve bunlar daha sonra birbirlerine bağlanabilirler. Bunu ilerleyen derslerde göreceksiniz. Yukarıdaki işlemleri tamamlayınca [Next] seçiniz: Eğer proje kütüğü olarak belirlediğiniz isim henüz açılmış değil ise, aşağıdaki pencere açılıp bu kütüğün oluşturulmasını onaylamanız istenecektir. [Yes] seçeneğini çalıştırınca karşınıza aşağıdaki pencere çıkacaktır. Burada istenilen: projenize dahil etmek istediğiniz hazır kaynak dosyaların ve/veya kütüphanelerin olup olmadığıdır. Eğer var ise, bunlarla ilgili yolları tanımlamanız istenmektedir. Bu aşamada bu seçeneği atlamak [Next] seçeneğini çalıştıralım. Bir sonraki pencere bu projede kullanılacak tasarım araçlarını göstermektedir:

Şimdilik sadece QUARTUSII yazılımına ihtiyacımız olduğundan [Next] seçeneğini çalıştıralım. QUARTUSII ortamında yapılan tasarımlar nihai olarak bir FPGA yongası aracılığıyla gerçeklendiğinden, bu aşamada donanım olarak hangi FPGA yongasını kullanacağımızı belirlememiz gerekiyor. Aşağıdaki pencerede size varolan tüm seçenekler sunuluyor.

Stratix ailesi seçeneğini işaretleyelim ve bir sonraki pencerede [Yes] seçimini yapalım. [Next] seçeneğini takiben STRATIX ailesinden hangi elemenanı kullanacağımızı seçmemiz istenecektir. EP1S10B672C6 elemanını işaretleyip [Next]seçimini yapalım. Tebrikler. Şu ana kadar, üzerinde çalışacağınız bir proje yaratıp hedef sayısal sisteminizin donanım ortamını belirlemiş oldunuz. [Finish] seçeneğini çalıştırarak proje yaratma işlemini tamamlamış olunuz.

Adım 2. Devre Şemasının Girilmesi: Yeni bir proje yaratılmasından sonra yapmayı planladığınız sayısal sitemin devre şeması var ise aşağıdaki adımları takip ederek bu şemayı QUARTUSII tasarım ortamına girip istediğniz gibi çalışıp çalışmadığını benzetimleyebilirsiniz. Şimdi şu basit örnekle başlayalım: i. Menüden File New seçeneğinin ardından Block Diagram/Schematic File seçimini yaparak OK ile onaylayınız. Karşınıza boş bir şema giriş ekranı çıkaçaktır. ii. Boş şema giriş ekranı alanına iki kere tıkladığınızda varolan devre elemanı kütüphanelerinin listesine ulaşmış olursunuz. Bu kütüphanler temel devre elemanlarını içerirler ve temel kütüphaneler (Primitives Library) olarak adlandırılırlar. Bu kütüphaneleri açarak temel mantık kapılarını içeren Symbol Files kütüphanesini inceleyiniz. iii. Symbol Files kütüphanesinden nor2 elemanını seçiniz. Seçtiğiniz elemanın sembolü Graphic Editor ekranının ortasında belirecektir. iv. i ve ii adımlarını tekrarlayarak nand2 elemanını seçiniz. v. i ve ii adımlarını tekrarlayarak and2 elemanını seçiniz Giriş ve Çıkış Terminallerinin Atanması: i. Primitives kütüphanesini tekrar açınız. ii. Terminaller (pins) seçeneğine ulaşıncaya kadar aşağı yönlü ilerleyiniz. iii. Output (Çıkış)vseçeneğine çift tıklayınız. Çıkış sembolu ekran üzerinde görünecektir.. iv. i ve ii adımlarını tekrarlayınız ve Input (giriş) sembolunu seçiniz. v. Farenin (mouse) sağ tuşunu kullanarak Input sembolunu üç defa kopyalayınız. Semboller Arası Bağlantılar: i. Bir devre sembolunun sonuna fare işaretleyici ile gidiniz ve çarpı işareti göründüğünde farenin sol tuşunu basılı tutarak bağlantıyı çizmeye başlayınız. Bağlantı tamam işaretini görünce fare tuşunu serbest bırakınız. ii. Yukarıdaki adımı tüm bağlantılar için tekrarlayınız. iii. Eğer bir bağlantı uygun görünmüyorsa, üzerine fare ile tıklayıp bağlantıyı seçiniz (bağlantı kırmızı renge dönüşecektir) ve Delete (sil) tuşuna basarak siliniz.

iv. Eğer bir bağlantıyı bir noktadan başka bir noktaya doğrudan tamamlayamıyorsanız, kısımlar halinde veya kırık çizgi biçiminde de bağlayabilirsiniz. v. Bir bağlantıyı fare ile seçip istediğniz yere taşıyabilisiniz. vi. Şimdi devre şemanız aşağıdaki gibi olamlıdır. Giriş, çıkış ve bağlantıları tamamlanmış devre şeması Terminallerin İsimlendirilmesi i. Bir terminal isminin üzerine çift tıkladığınızda bu terminal ismini seçmiş olursunuz. ii. Terminal ismini tasarımınızda kullandığınız isimle değiştiriniz. iii. Yukarıdaki adımları bütün terminal isimleri için tekrarlayınız. iv. Ctrl+S tuşlarıyla tasarımınızı diske kaydediniz.. Devre isimleri değiştirilmiş devre şeması

Adım 3. Projenizin Derlenmesi: 1. Menüden Processing Start Compilation seçeneğini tıklayınız. 2. Başarılı bir derlemenin ardından Full compilation was successful mesajını görmüş olmalısınız. 3. Derleme 0 hata ile sonlanmalıdır. Hata mesajı verilmesi durumunda ilgili adımları gözden geçirerek düzeltiniz. 4. Derleme penceresini kapatınız. Adım 4: Projenizi Bezetimleme (simulation): Bir sayısal devre iki şekilde benzetimlenebilir. En basit olanıında tüm elemanlarının ve bağlantıların ideal olduğunu kabul ederiz ve bu durumda devre boyunca sinyallerin ulaşımında hiç bir geçikme olmaz. Bu benzetim yaklaşımına işlevsel bezetim ( functional simulation) denilir. Daha karmaşık olan ikinci yaklaşımda ise bütün sinyal geçikmeleri dikkate alınarak benzetim yapılır ve yaklaşıma zamanlama benzetimi (timing simulation) denilir. Temel olarak işlevsel benzetim bir devrenin/sistemin işlevsel doğruluğunu control etmek amacıyla kullanılır. Bu benzetim yaklaşımı az zaman alır ve kolayca yapılır. Bu deneyde işlevsel benzetimi uygulayacağız, zamanlama benzetimini de sonraki deneylerde öğreneceğiz. Önceki adımlarda QuartusII ortamında oluştuduğunuz sayısal devrenin işlevsel benzerimini şu şekilde yapabilirsinzi: 1. File New Other Files Vector Waveform File seçiminden sonra OK ile onaylayınız. 2. Ana menüden Edit Insert Node or Bus seçimini yapınız. 3. Node Finder üzerine tıklayınız. 4. Pins: all seçiminden sonra Start ile işlevsel benzetimi başlatınız. 5 Nodes Found listesinden A, B, C, D ve Y terminallerini işaretleyiniz. 6. Sağ ok ( ) tuşu ile A, B, C, D, ve Y terminallerini Selected Nodes tarafına taşıyınız. 7. OK seçimlerini yaparak benzetimleme sürecinin ikinci aşamasına geçiniz. 8. Terminallerinin üzerine tıklayarak fare yardımıyla sıralarını değiştirebilirsiniz. Sayısal Dalga Formu Penceresi

9. Şimdi, Edit End seçiminin ardından Time seçeneğine 160.0 ns giriniz ve benzetimleme zaman aralığını 0.0ns ile 160.0ns aralığına sabitleyiniz. 10. View Fit in Window ile benzetim aralığının tamamını ekrana yansıtınız. 11. A terminaline tıklayınız ve yan cubuk üzerinden Overwrite clock tuşunu seçerek peryodu için 20.0 ns yazınız. 12. Aynı işlemleri B: 40.0 ns, C: 80.0 ns, and D: 160.0 ns olarak tekrarlayınız. Waveform Editor Window with Inputs 13. Assignments Settings seçimini yaparak ayarlar ekranın açınız. Simulations Settings seçiminin ardından Mode seçeneğine geçiniz ve benzetim modunu Functional olarak değitiriniz. 14. File Save seçeneğine giderek dosyanızı saklayınız. Dosya ismi projenizin ismiyle aynı olacaktır. OK seçeneğine tıklayınız. 15. Ana menüye giderek Processing Start Simulation seçimini yapınız. 16. Benzetimleme işlemi tamamlanınca OK ile onaylayınız. 17. View Fit in Window seçimiyle tüm benzetimleme aralığını ekrana yansıtınız. 18. Elde ettiğiniz benzetimleme sonuçlarını deverenizin doğruluk tablosu ile karşılaştırınız.