T.C. SÜLEYMAN DEMİREL ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI ÜÇ EKSENLİ ROBOT KOL KONTROLÜ

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "T.C. SÜLEYMAN DEMİREL ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI ÜÇ EKSENLİ ROBOT KOL KONTROLÜ"

Transkript

1 T.C. SÜLEYMAN DEMİREL ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI ÜÇ EKSENLİ ROBOT KOL KONTROLÜ Faruk AYATA Danışman Doç. Dr. Abdülkadir ÇAKIR YÜKSEK LİSANS TEZİ ELEKTRONİK - BİLGİSAYAR EĞİTİMİ ANABİLİM DALI ISPARTA 2013

2 2013 [Faruk AYATA] 2

3 TEZ ONAYI Faruk AYATA tarafından hazırlanan "FPGA Tabanlı Üç Eksenli Robot Kol Kontrolü" adlı tez çalışması aşağıdaki jüri üyeleri önünde Süleyman Demirel Üniversitesi Fen Bilimleri Enstitüsü Elektronik - Bilgisayar Eğitimi Anabilim Dalı nda YÜKSEK LİSANS TEZİ olarak başarı ile savunulmuştur. Danışman Doç. Dr. Abdülkadir ÇAKIR... Süleyman Demirel Üniversitesi Jüri Üyesi Prof. Dr. Akif KUTLU... Süleyman Demirel Üniversitesi Jüri Üyesi Yrd. Doç. Dr. Okan BİNGÖL... Süleyman Demirel Üniversitesi Enstitü Müdürü Prof. Dr. Mehmet Cengiz KAYACAN...

4 TAAHHÜTNAME Bu tezin akademik ve etik kurallara uygun olarak yazıldığını ve kullanılan tüm literatür bilgilerinin referans gösterilerek tezde yer aldığını beyan ederim. Faruk AYATA

5 İÇİNDEKİLER Sayfa İÇİNDEKİLER... i ÖZET... ii ABSTRACT....iii TEŞEKKÜR....iv ŞEKİLLER DİZİNİ.....v ÇİZELGELER DİZİNİ... vii SİMGELER VE KISALTMALAR DİZİNİ....viii 1.GİRİŞ KAYNAK ÖZETLERİ MATERYAL VE YÖNTEM Materyal Robotkol Koordinat sistemlerine göre robot kol çeşitleri Robotla ilişkili temel matematiksel tanımlar Koordinat sistemleri Matris matematiği Servo motorlar Servo motor çeşitleri Servo motorların kullanım alanları Altera DE2-115 eğitimbordu FPGA mimarisi Simple programmable logic devices (SPLD) CPLD (Complex Programmable Logic Device) ASIC (Application Specific Integrated Circuit) FPGA (Field Programmable Logic Devices) Donanım Tanımlama Dili (VHDL-Verilog) FPGA programlama FPGA ile uygulama geliştirme FPGA kullanım alanları Yöntem Robot kol Robot kol sürücü devresi yazılımı için Quartus II derleyici kullanımı 35 4.ARAŞTIRMA BULGULARI Robot Kolun Altera DE2-115 Eğitim Boarduna Bağlanması Robot Kol Sürücü Devresi Yazılımının Hazırlanması TARTIŞMA VE SONUÇ KAYNAKLAR ÖZGEÇMİŞ i

6 ÖZET Yüksek Lisans Tezi FPGA TABANLI ÜÇ EKSENLİ ROBOT KOL KONTROLÜ Faruk AYATA Süleyman Demirel Üniversitesi Fen Bilimleri Enstitüsü Elektronik- Bilgisayar Eğitimi Anabilim Dalı Danışman: Doç. Dr. Abdülkadir ÇAKIR Teknolojideki hızlı gelişmelere paralel olarak, yeniden yapılandırılabilir mimarilere olan ihtiyaç artmaktadır. Alan programlanabilir Kapı Dizileri (Field Programmable Gate Array-FPGA) binlerce kapıdan oluşmakta ve karmaşık sistemlerin yeniden programlanabilir mimariler ile gerçekleştirilmesine imkân tanımaktadır. Bu tez çalışmasında süper bilgisayarların yapılmasına imkân tanıyan FPGA mimarisi ile endüstriyel işlerde kullanılan bir robot kolun kontrolü sağlanmıştır. Bu robot kolda beş adet servo motor kullanılmış ve bu motorların kontrolü FPGA ile gerçekleştirilmiştir. Tasarlanan robot koldaki servo motorların, FPGA üzerindeki GPIO (General Purpose Input Output) birimine bağlantıları yapılmış ve Verilog HDL (Hardware Description Language-Donanım Tanımlama Dili) kodu yazılarak servo motorların dönüş yönü ve açısı belirlenip board üzerindeki switchler aracılığıyla robot kolun hareketi sağlanmıştır. Verilog donanım tanımlama dili kullanılarak hazırlanıp modül haline getirilen sistemde board üzerindeki switchlerin her bir bitlik hareketiyle robot kola 9º lik bir açı yaptırılmıştır. Anahtar Kelimeler: FPGA, HDL, Servo Motor, GPIO birimi. 2013, 62 sayfa ii

7 ABSTRACT M.Sc. Thesis FPGA BASED THREE AXIS ROBOT ARM CONTROL Faruk AYATA Süleyman Demirel University Graduate School of Applied and Natural Sciences Department of Electronic - ComputerEducation Supervisor: Assoc. Prof. Dr. Abdülkadir ÇAKIR Need of reconstructive architectures is increasing parallel to the fast technologic developments. Field Programmable Gate Array (FPGA) consists of thousands of gates and enables complex systems to be built using reprogrammable architectures. In this thesis, robotic arm which is used in industrial works are controlled with FPGA which enables super computers to be made. Five servo motors are used at the robotic arm and the control of these motors is realized by FPGA. The connection to GPIO unit on FPGA of the servo motors in designed robotic arm is made and Verilog HDL (Hardware Description Language) code is written to define direction of lamp and the angle. A movement of the robotic arm is controlled by switches on the board. The system is powered and modulated by using Verilog hardware definition language. One bit of switch movement equals to 9 degrees of robotic arm angle. Keywords: FPGA, HDL, Servo Motor, GPIO unit. 2013, 62 pages iii

8 TEŞEKKÜR Bu konuda çalışmaya beni yönlendiren, karşılaştığım zorlukları bilgi ve tecrübesi ile aşmamda yardımcı olan değerli Danışman Hocam Doç. Dr. Abdülkadir ÇAKIR a teşekkürlerimi sunarım. Bu tez çalışması sürecinde FPGA uygulamalarındaki zorlukları aşmamda ve literatür araştırmalarımda yardımlarını esirgemeyen Sakarya Üniversitesi Teknoloji Fakültesi Bilgisayar Mühendisliği Bölümü öğretim üyesi değerli Hocam Doç. Dr. Ahmet Turan ÖZÇERİT e ve Turgut Özal Üniversitesi Bilgisayar Mühendisliği Bölümü öğretim üyesi değerli Hocam Yrd. Doç. Dr. Vedat KIRAY a, FPGA boardunun temininde ve kullanımında verdiği destekler nedeni ile Çizgi-TAGEM ekibine, maddi ve manevi tüm destekleri için Süleyman Demirel Üniversitesi Bilgi İşlem Daire Başkanlığı çalışanlarına teşekkürlerimi bir borç bilirim. Tez çalışmam süresince gösterdiği büyük ilgi, destek ve her türlü yardımlarından dolayı Emre TANŞU ve Saliha FİŞEK e sonsuz şükranlarımı sunarım. Bu zamana kadarki eğitimim süresince desteklerini her an üzerimde hissettiğim eşime, sevgili aileme, gösterdikleri sabır ve verdikleri emeklerden dolayı minnettarlarımı sunarım. Faruk AYATA ISPARTA, 2013 iv

9 ŞEKİLLER DİZİNİ Sayfa Şekil 3.1. Kartezyen robot kolu... 7 Şekil 3.2. Silindirik robot kolu... 7 Şekil 3.3. Küresel robot kolu... 8 Şekil 3.4. Scara robot kolu... 9 Şekil 3.5. Mafsallı robot kol... 9 Şekil 3.6. P noktasını A noktasına göre tanımı Şekil 3.7. P noktasının {A} ve {C} koordinat sistemlerine göre tanımı Şekil 3.8. A Noktası ve uç noktanın koordinat sistemleri Şekil 3.9. {B} Koordinat sisteminin {A} sistemine göre yönelimi Şekil 3.10.AA servo motorlar Şekil Servo motor Şekil DA servo motor çalışma prensip şeması Şekil Altera DE2-115 eğitim boardu Şekil Programlanır mantık aygıtları genel sınıflandırma Şekil a) Basit PLD yapısı b) Karmaşık PLDyapısı c) FPGA yapısı Şekil Genel CPLD yapısı Şekil ASIC tasarım yöntemleri Şekil PLD ve ASIC yaklaşımları arasındaki boşluk Şekil Genel FPGA mimarisi üstten görünümü Şekil Genel FPGA mimarisi Şekil Verilog da tasarım hiyerarşisi Şekil Verilog da modül Şekil Yapısal stil Şekil Veri akışı stili Şekil Davranışsal stil Şekil Stratix GX ve Cyclone II EP2C35 DSP geliştirme kartları Şekil FPGA akış şeması Şekil Robot kolun yerel koordinat sistemi Şekil Beş eksenli robot kolun eklem uzaklık değerleri Şekil Beş eklemli robot kol Şekil Quartus II derleyicisi Şekil Quartus II derleyicisi file menüsü Şekil Yeni proje oluşturma görev sihirbazı Şekil Yeni proje isim ve dizin belirleme Şekil Projeye dosya ekleme penceresi Şekil FPGA board modeli seçme penceresi Şekil Proje ayarları özet penceresi Şekil Oluşturulan projenin programa eklendikten sonraki program ara yüzü Şekil Yeni belge oluşturma penceresi Şekil Yeni oluşturulacak belgenin türü Şekil Lojik tasarım araç çubuğu Şekil Symbol penceresi Şekil NAND (Değil) kapıları kullanılarak D tipi flip flop oluşturulması Şekil Text editor araç çubuğu Şekil 4.1. Sistemin blok şeması v

10 Şekil 4.2. Altera DE2-115 GPIO birimleri Şekil 4.3. Robot kol sürüce devre programının akış şeması Şekil 4.4. Kare dalga sinyali üzerinde periyot ve dutycycle Şekil 4.5. Servo motor için hazırlanan servo_pwm1 modülü Şekil 4.6. Servo motor için hazırlanan servo_pwm1 modülünün ayrıntılı gösterimi. 52 Şekil 4.7. Programmer penceresi Şekil 4.8. Hardware setup penceresi Şekil 4.9. Programmer penceresi (yazılım yüklemesi ardından) Şekil Altera DE2 eğitim boardu üzerindeki switchler Şekil Robot kol için hazırlanan modüller Şekil Hazırlanan modüllerin derlenmesi Şekil Tasarlanan sistemin genel görünümü vi

11 ÇİZELGELER DİZİNİ Sayfa Çizelge 3.1. Robot kolların konfigürasyonlarının karşılaştırılması Çizelge ms servo motorların darbe sürelerine göre açıları vii

12 SİMGELER VE KISALTMALAR DİZİNİ AA Alternatif Akım ASIC Uygulamaya Özel Tümleşik Devre CPLD Karmaşık Programlanabilir Lojik Devre DA Doğru Akım GAL Genel Dizi Lojiği GPIO Genel Amaçlı Giriş Çıkış HDL Donanım Tanımlama Dili PAL Programlanabilir Dizi Lojiği PLA Programlanabilir Lojik Dizisi PLL Evre Kenetleme Döngüsü PWM Darbe Genişlik Modülasyonu SPLD Basit Programlanabilir Lojik Devre VCO Voltaj Kontrol Osilatörü VHDL Yüksek Hızlı Tümleşik Devreler için Donanım Tanımlama Dili viii

13 1. GİRİŞ Dijital devrelerin karmaşıklığının giderek artması tasarım metotlarının da gelişmesini gerektirmektedir. Geleneksel metotların yerini, tasarım süresini ve maliyetini azaltan, esnek yapıları sayesinde tasarımcıya büyük kolaylık getiren donanım tanımlama dilleri (HDL) almıştır. Bir donanım tanımlama dili yardımıyla birçok alt birimden oluşan tasarım tek bir elemana yüklenmekte ve bu sayede tek bir çip içerisinde bir sistem oluşturularak baskı devre çıkarma, lehimleme gibi hem uzun süren hem de maliyeti artıran işlemler devreden çıkartılabilmektedir (Alaer, 2006). Günümüzde, FPGA mimarileri kullanılarak tasarlanacak bir sistem için birçok tasarım metodu mevcuttur. Donanım tanımlama dilleri (HDL), tasarım sürecini ve maliyeti azaltması gibi avantajları sebebiyle kullanılan mevcut metotlar içinde en çok tercih edilenidir. İki çeşit donanım tanımlama dili mevcuttur. Bunlardan birincisi VHDL (Very High Speed Integrated Circuit Hardware Description Language ) sayısal devrelerin tasarlanması ve denenmesi amacıyla yaygın olarak kullanılan bir donanım tanımlama dilidir. VHDL de tasarımlar hiyerarşi içerisinde bileşenlerine ayrılabilmektedir. Her bir tasarım elemanı iyi tanımlı bir ara yüze ve hatasız davranış tanımlamasına sahip olmalıdır. VHDL, senkron ve asenkron ardışıl devre yapılarının uygulanmasına imkan tanımakta ve işlemler ile zaman davranışının simülasyonu yapılabilmektedir. Bir diğer donanım tanımlama dili Verilog olup, bu dil elektronik sistemleri modellemek için kullanılmaktadır. Verilog; analog, sayısal ve karışık işaretli devrelerin tasarımını, doğrulanmasını ve yürütülmesini değişik düzeylerde desteklemektedir. Verilog dilinin tasarımcıları, bu dile yatkın olan mühendislerin dili kolayca kullanmaları amacıyla dilin C programlama diline yakın bir söz dizimine sahip olmasını istemişlerdir. Verilog, geleneksel programlama dilleri gibi basamaklarını tam olarak ardışık bir şekilde yürütmemektedir. Verilog tasarımı modüller arasında bir hiyerarşi bulundurmakta ve modüller bir takım giriş, çıkış ile çift yönlü portlar şeklinde tanımlanmaktadır. Son yıllarda alışılmış tahrik sistemlerinin yerini programlanabilme ve hassas hareket kontrolü gibi özelliklere sahip servo ve adım motorları almaya başlamıştır. Servo motorlar, pozisyonları dışarıdan kontrol edilebilen, yüksek hassasiyet ve tork kapasiteli motorlardır. Bu yönüyle robot projelerinde çok kullanılmaktadır. Robot 1

14 teknolojilerinin en popüler alt sınıflarından biride robot kollarıdır. Günümüzde hemen hemen her fabrikanın üretim bandında insanların yerini robot kollar almaktadır (Güzel, 2008). Alan programlanabilir kapı dizileri (Field Programmable Gate Array-FPGA) binlerce kapıdan oluşur ve karmaşık sistemlerin, yeniden programlanabilir mimariler ile gerçeklenmesine imkân tanır (Alaer, 2006). Günümüzde FPGA (alan programlanabilir kapı dizileri) mimarisi, dijital haberleşme, network ağları, video ve resim işleme gibi alanlarda, yüksek performanslı sinyal işleme uygulamalarında kullanılmaktadır. Bu tez çalışmasında, beş adet servo motorun bağlı olduğu bir robot kolun kontrolü Verilog donanım tanımlama dilinde FPGA mimarisi kullanılarak gerçekleştirilmiştir. Bu tez beş bölümden oluşmaktadır. Tezin birinci bölümü giriş, ikinci bölümü bu konuda daha önce yapılmış çalışmaların kısa özeti, üçüncü bölümü bu tez kapsamında kullanılan servo motor ve uygulaması yapılan robot kol hakkında genel bilgiler ile FPGA mimarisi ve Altera firmasının ürettiği Altera DE2-115 Eğitim Boardunun tanıtımı şeklinde düzenlenmiştir. Tezin dördüncü bölümünde, robot kolun Altera DE2-115 Eğitim Boarduna bağlanması ve sürücü devre için Quartus II derleyicisinde hazırlanan yazılım, beşinci bölümde ise robot kolun hareketi için Altera DE2-115 Eğitim Boardu üzerindeki switchlerin kullanımı açıklanmıştır. 2

15 2. KAYNAK ÖZETLERİ Aydın vd.(2012), yaptıkları çalışmada asenkron motorlarda oluşan stator, rotor ve sonlandırıcı halka arızalarının gerçek zamanlı teşhisi için hazırladıkları algoritmayı FPGA kartı üzerinde gerçekleştirmişlerdir. Sönmez ve Akbal (2012), hazırladıkları Uydu haberleşme sistemlerinde ve navigasyon sistemlerinde FPGA kullanılarak veri iletiminin analizi adlı bildiride uydu ve haberleşme alanında ihtiyaç duyulan yüksek hızda veri iletimini FPGA kullanarak sağlamışlardır. Dursun ve Doğan (2012), FMCW radar uygulamalarına yönelik FPGA tabanlı doğrusal tarama denetimcisi gerçekleştirimi isimli makalede sıklık kiplenimli sürekli dalga radar uygulamalarında, frekans taramasının voltaj kontrollü osilatörler (VCO-Voltage Controlled Oscillator) nedeni ile doğrusal değerler üretememektedir. Bu yüzden menzil çözünürlüğü olumsuz yönde etkilenmektedir, bu olumsuzluğu ortadan kaldırmak için FGPA kullanmışlar ve evre kenetleme döngüleri (PLL-Phase Locked Loop) yapısında daha verimli neticeler elde etmişlerdir. Taşçı (2011), FPGA kontrollü robotik göz adlı yüksek lisans tezinde tasarlanan sistemin görüntü işleme algoritmaları ile gördüğü nesnenin rengini belirlemesi, konumunu bulması ve kenar algılama işlevini yerine getirmesi sağlanmıştır. Aydoğdu (2010), FPGA tabanlı, stereo görmeye sahip bir robotta üç boyutta eş zamanlı konumlama ve haritalama isimli yüksek lisans tezinde stereo kameraya sahip mobil bir robotun gerçek zamanda ve eş zamanlı olarak konumlama ve haritalama yapmasını sağlayacak genişletilmiş kalman filtresi için FPGA üzerinde paralel bir mimari oluşturulmaya çalışmıştır. Güzel (2008), yaptığı yüksek lisans tezinde, beş ve altı eksenli robot kollar hakkında detaylı bir matematiksel çalışma gerçekleştirmiştir. Bu çalışma kapsamında beş ve altı eksenli robot kolların matematiksel modellenmesi, hareketsel karakteristiğin incelenmesi, düz ve ters kinematik denklemlerinin elde edilip bu denklemlerin çözülmesi sağlanmıştır. Ayrıca beş serbestlik derecesine sahip Lynx-6 robot kolu için 3

16 esnek ve gerçek uygulamalarda kullanımı kolay düz ve ters kinematik çözüm bu çalışma kapsamında geliştirilmiştir. Bu çalışmanın yazılım kısmı için farklı programlama dillerini kullanılmıştır. Uygulama kısmında Visual Studio.Net platformunda C# programlama dili kullanılarak dört farklı görsel tabanlı yazılım programı gerçekleştirilmiştir. Bu çalışmalarda Lynx-6 robot kol fiziksel olarak kontrol edilip; gerçek zamanlı ve akıllı uygulamalarda kullanılmıştır. Birinci uygulama yazılımı, robot kolun servo motorlarını ve uygulamalarda kullanılan elektro mıknatısı servo kontrol kartı üzerinden yönetirken, kinematik analizin gerçek zamanlı uygulamada kullanılması sağlanmıştır. İkinci uygulama yazılımı, Lynx-6 robot kolun kinematik analizi ile yapay zekâ ve imge işleme tekniklerini birleştirilerek, gerçek zamanlı kamera destekli akıllı bir uygulamada kullanılmasını sağlamıştır. Özdemir (2008), Mobil robotlarda programlanabilir kapı dizileri alanı kullanılarak gerçek zamanlı modelleme adlı yüksek lisans tezinde; FPGA geliştirme kartı kullanarak bir omni-directional robot modeli gerçekleştirmiştir. Robot üç tane omnidirectional tekerleğe sahiptir ve bu tekerlekler üzerinde serbestçe hareket eden ufak tekerlekler bulunmaktadır. Bu sayede robotun her yöne hareket etmesi sağlanmıştır. Wolf, Holanda ve Bonato (2007), hazırladıkları FPGA tabanlı mobil robot kontrolcüsü isimli makalede mobil robotların, ticari uygulamalar için son versiyon ve yüksek hızda PC ye ihtiyaç duyması ve bununda maliyetinin yüksek olmasından, ayrıca hesaplama ve hafıza sınırlamalarından dolayı mobil robot için FPGA mimarisini tercih etmişlerdir. Sırmaçek (2007), FPGA ile mobil robot için öğrenme algoritması modellenmesi adlı yüksek lisans tezinde; mobil bir robot uygulaması için çevreyi öğrenen ve engellerden kaçabilecek bir yapay sinir ağları algoritması geliştirmiş ve FPGA için donanımsal modellemesini yapmıştır. Bu çalışmada FPGA tasarımı, modelsim simülasyon programı ile test edilmiştir. Zhao, Kim ve Larson (2005), hazırladıkları Küçük ölçekli robotlar için kapalı döngü kontrol sisteminin FPGA uygulaması isimli makalede küçük robotların sınırlı 4

17 kaynaklarını, işlevlerini ve performanslarını arttırabilmek için FPGA teknolojisini kullanmışlardır. Çavdar (1997), hazırladığı Bir robot kolun tasarımı, gerçeklenmesi ve kontrolü adlı makalede bir robot kolun nasıl tasarlandığını nasıl modellendiğini ve kontrol edildiğini anlatmıştır. Robot kol maksimum hız hareketi ve eklem interpolasyonlu hareket olmak üzere iki modda hareketini sağlamıştır. Robotun kontrolünü, hazırladığı ara yüzle web üzerinden de gerçekleştirmiştir. 5

18 3. MATERYAL VE YÖNTEM 3.1. Materyal Bu bölümde; tez çalışmasında kullanılan robot kol, servo motor, servo motorun sürücü devresi için Altera firmasının ürettiği Altera DE2-115 Eğitim Boardu, FPGA mimarisi ve donanım tanımlama dillerinden Verilog HDL hakkında bilgi verilmiştir Robot kol Robot kol sistemi; gövde, kol ve bileğin fiziksel olarak inşa edilmesi ile ilgilidir. Bugün fabrikalarda kullanılan birçok robot kolu bir zemine bir taban üzerine monte edilir. Gövde bu tabana monte edilmiş, kol montajı da bu gövdeye yapılmıştır. Kolun sonunda bilek vardır. Gövde, kol ve bilek arasındaki hareketler bir dizi eklem tarafından sağlanmaktadır. Eklemlerin hareketi dönme veya kayma hareketidir (Çavdar, 1997). Endüstride kullanılan robotlar değişik şekillerde sınıflandırmak mümkündür; Koordinat sistemlerine göre robot kol çeşitleri Koordinat sistemlerine göre robot kol çeşitleri şunlardır: Kartezyen robot kolları: Basit bir yapıya sahiptirler bu yüzden hareketlerin planlanması kolaydır. Bu tür robotlar; X,Y,Z eksenlerinde doğrusal olarak hareket etme yeteneğine sahiptirler. Pozisyon hesaplamaları çok kolaydır. Şekil 3.1 de kartezyen robot kolu görülmektedir. Çalışma alanları robotun yapısından daha küçüktür. Eğilme ve bükülme işlemlerini gerçekleştiremez. Çalışma alanları kare veya dikdörtgen prizma seklindedir. Yük taşıma kapasitesi diğer robot türlerine göre daha büyüktür. İnsan gücünün taşıma kapasitesini aşan yüklerin taşınmasında kullanılırlar. Bu nedenle genellikle yük araçlarında, yükleme ve boşaltma işlerinde, fabrikalarda ağır yükleri taşımak amacı ile fabrikaların tavanlarına monte edilerek kullanımı yaygındır (Yılmaz, 2007). 6

19 Şekil 3.1. Kartezyen robot kolu (Yılmaz, 2007) Silindirik robot kolları: Silindirik robot kolları kendi etrafında dönebilen bir mafsal ve bunun üzerinde bulunan X,Y,Z düzleminde doğrusal hareket edebilen kollardan oluşmaktadır. Şekil 3.2 de silindirik robot kolunun resmi verilmiştir. Esnek olmayan silindirik bir koordinat sistemine sahiptirler. Kartezyen robot kola göre kolun hareket serbestliği daha geniştir. Çalışma alanı içindeki noktalara ulaşımı çok kolaydır. Hareket kabiliyeti az olduğu için programlanması kolaydır. Şekil 3.2. Silindirik robot kolu (Yılmaz, 2007) Küresel robot kolları: Küresel robot kolları bel, omuz ve dirsek mafsallarından oluşurlar. Bel ve omuz mafsalı kendi etrafında dönme hareketi yapabilirken, dirsek 7

20 mafsalı kola uzama ve kısalma hareketi yaptırmaktadır. Şekil 3.3 te küresel robot kollarının resmi verilmiştir. Kol yapılarından dolayı eklemli robot kollarına benzemektedirler. Kinematik yapıları kartezyen ve silindirik robot kollara göre daha karmaşıktır. Bu nedenle programlanması ve kontrolü zordur. Şekil3.3. Küresel robot kolu (Yılmaz, 2007) Scara robot kolları: Scara robot kolları iki eklem yerinde elektrik motoru ve aşağı yukarı hareket edebilen pnömatik koldan oluşmuştur. Eklemlerdeki elektrik motorları eksenlerin kendi etrafında dönmesini sağlamaktadır. Tutucu ağzın bulunduğu kol pnömatik tahrikli olup Z ekseninde hareket etme kabiliyetine sahiptir. Bu durum robot kola esnek hareket imkânı sağlamaktadır. Hız ve konum performansı çok iyi olduğundan dolayı bu robot kol en çok elektronik sanayisinde, elektronik kartlara malzemelerin montajını gerçekleştirmek için kullanılmaktadır (Yılmaz, 2007). Şekil 3.4 de scara robot kolu gösterilmiştir. 8

21 Şekil 3.4. Scara robot kolu (Yılmaz, 2007) Mafsallı robot kolları: İnsan kolunun hareketlerini taklit etmeye en yakın robot kol türüdür. Üretim sistemlerinde diğer kolların hareket kabiliyetlerinin sınırlı olmasından dolayı mafsal sayısı genellikle 5 veya 6 adet olan robot kollara ihtiyaç duyulmuştur. Bu tip robot kollarda her mafsal ayrı ayrı kontrol edilebilen motorlardan oluşmaktadır. Mafsallarda bulunan motorlar 12 V 24 V gerilim ile beslenmektedir. Şekil 3.5 te mafsallı robot kolu gösterilmiştir. Şekil 3.5. Mafsallı robot kol Çizelge 3.1 de koordinat sistemlerine göre çeşitleri belirtilip açıklanan robot kol konfigürasyonlarının karşılaştırılması verilmiştir. 9

22 Çizelge 3.1. Robot kolların konfigürasyonlarının karşılaştırılması Robot Tipi Mafsal Tipleri -ilk eklem -ikinci eklem -üçüncü eklem Kullanım Alanları Kullanım Sonuçları Kartezyen Robot Kolları Prizmatik Prizmatik Prizmatik Demiryolu, köprü inşaatları, büyük makine montajları Kinematik modelleri basittir. Rijit bir gövdeye sahiptir. Çalışması için büyük alan gerekir. Büyüklüğüne göre iş alanı küçüktür. Silindirik Robot Kolları Dönel Prizmatik Prizmatik Büyük makine montaj sanayi Basit montaj-demontaj hatları Kinematik modelleri basittir. Hareket analizi basittir. İş alanları sınırlıdır. Tozlu ve ıslak ortamlarda çalışması zordur. Küresel Robot Kolları Dönel Dönel Prizmatik Montaj sanayi Nükleer santraller Büyük alanlara uzanabilirler. Zeminden uzaktaki nesneleri tutabilirler. Kinematik modelleri karışıktır. Mafsallı Robot Kolları Dönel Dönel Dönel Otomobil sanayi Otomobil boya sanayi Elektronik montaj sanayi Nükleer santrallerde Maksimum esnekliğe sahiptir. İş alanı robot büyüklüğü ile orantılıdır. Elektrik motorları kullanılabilir. Kinematik yapıları karmaşıktır. Hareket analizleri zordur. 10

23 Robotla ilişkili temel matematiksel tanımlar Bu bölümde robot bilimi ile ilgili temel matematiksel kavramları ele alarak robot elemanlarının çalışma uzayında konumlanmasından ve matris matematiğinden bahsedilmiştir Koordinat sistemleri Robotlar kendilerinin ve çevrelerindeki nesnelerin bulunduğu üç boyutlu uzayda hareket ederler. Robotun ve çevresindeki nesnelerin konumlarını ve birbirlerine göre yönelimlerini belirlemek için robotun ve çevresindeki nesnelerin merkezlerine birer koordinat sistemi yerleştirilir. Tanımlanacak bütün konum ve yönelimler evrensel çerçeveye veya evrensel çerçeve içindeki diğer kartezyen koordinat sistemlerine göre gerçekleştirilir (Küçük, 2005). Konum tanımı: Bir evrensel koordinat çerçevesi içerisine birçok koordinat sistemi yerleştirilebilir. Üç boyutlu uzayda bir nokta, koordinat sisteminin merkezine göre tanımlanmış 3x1 boyutlu vektörle gösterilebilir. Evrensel koordinat çerçevesi içerisinde bir {A} koordinat sistemi ve P noktası olduğunu düşünelim. P noktasının {A} koordinat sistemine göre tanımı Şekil 3.6 da verilmiştir (Güzel, 2005). Şekil 3.6. P noktasını A noktasına göre tanımı 11

24 Aynı P noktası hem {A} hem de {C} koordinat sistemlerine göre de tanımlanabilir. Şekil 3.7 de gösterildiği üzere P noktasının, {A} ve {C} koordinat sistemlerine uzaklığı eşit olmak zorunda değildir (Güzel, 2005). Şekil 3.7. P noktasının {A} ve {C} koordinat sistemlerine göre tanımı (Bayrak, 2007) Koordinat sistemleri konusunda da belirtildiği gibi, robot ve çevresindeki nesnelere koordinat sistemi yerleştirilir. Şekil 3.8 de bir robotun uç işlevcisinin A noktasına uzaklığını tanımlamak için, A noktasına ve robotun uç işlevcisine koordinat sistemleri yerleştirilmiştir. A noktası ile uç işlevcisine yerleştirilen koordinat sistemlerinin merkezleri arasındaki uzaklık Şekil 3.8 de gösterilmektedir (Küçük 2005). Şekil 3.8. A Noktası ve uç noktanın koordinat sistemleri (Güzel, 2005) 12

25 Yönelim tanımı: Üç boyutlu uzayda bir noktanın herhangi bir koordinat sistemine göre konumunun yanında yönelimi de tanımlanır. Yönelim, bir koordinat sisteminin başka bir koordinat sistemine göre dönme miktarıdır ve 3x3 boyutlu matris olarak ifade edilir. Bir katı cismin yönelimini başka bir referans koordinat sistemine göre tanımlamak için katı cisme bir koordinat sistemi yerleştirilir. Şekil 3.9 da uç işlevcisine, {B} koordinat sistemi yerleştirilerek {A} referans koordinat sistemine göre yönelimi tanımlanmıştır (Küçük, 2005). Şekil 3.9. {B} koordinat sisteminin {A} sistemine göre yönelimi (Küçük, 2005) Matris matematiği Matris noktaları (points) ve vektörleri (vectors); çerçevelerin (frames), öteleme, dönme ve aktarma işlemlerini sunmak için kullanılırlar. Robot kolun parçalarının çalışma uzayında küresel koordinat sistemleri ile ilişkisini belirlemek için matris matematiği kullanılır. Yönelme işlemi parametrik hale getirilip homojen dönüşümler kullanılır. Robot sitemlerinde her bir eklemin pozisyonu ve yönelmesi matris gösteriminde sunulur. P = [i,j,k] vektörü o anki koordinat sisteminin bir önceki koordinat sistemine göre yerini; X=[x 1,x 2,x 3 ], Y=[y 1,y 2,y 3 ], Z=[z 1,z 2,z 3 ] ise bir önceki koordinat sistemine göre yönelmesini temsil eder. X, Y ve Z vektörleri birim vektörleridir. A matrisi, yönelme ve pozisyon alma işlemlerini temsil eden matris eşitlik 3.1 de gösterilmektedir (Güzel, 2005). 13

26 (3.1) Her bir eklemin koordinat sisteminin bir önceki koordinat sitemi ile ilişkisi incelenerek; homojen aktarım matrisleri elde edilir. Robotun uç noktasının küresel koordinat sistemi ile ilişkisini anlamak için bütün matrisler çarpılır. Eşitlik 3.2 de son eklemin başlangıç durumuna göre konumunu ve yönelimini bulmak için yapılan matris çarpma işlemleri görülmektedir (Mikkelsen, 1998). (3.2) Burada: M(AC) : Üçüncü eklemin küresel koordinat sistemi ile ilişkisini gösteren matris. A: Küresel koordinat sitemi ile ilişkili birinci eklemin matrisi gösterimi. B: Birinci eklemin koordinat sitemi ile ilişkili ikinci eklemin matris gösterimi. C: İkinci eklemin koordinat sitemi ile ilişkili üçüncü eklemin matris gösterimi. x: Üçüncü eklemin x koordinatının küresel koordinat ile ilişkisi. y: Üçüncü eklemin y koordinatının küresel koordinat ile ilişkisi. z: Üçüncü eklemin z koordinatının küresel koordinat ile ilişkisi. i,j,k: Üçüncü eklemin küresel koordinat sistemine göre pozisyonu Servo motorlar Servo motor, bir mekanizmada son kontrol elemanı olarak görev yapan motordur. Genellikle güç sağlayan motorlar belirli bir hızda dönmeye göre tasarlanırken servo motorlar çok geniş bir hız komutunu yerine getirecek şekilde tasarlanır. Servo motorlar kullanıcının komutlarını yerine getiren motorlardır. Komutlar, pozisyon ve hız veya hız ve pozisyonun birleşimi olabilir. Bir servo motor şu karakteristiklere sahip olmalıdır: Geniş bir hız sınırı içinde kararlı olarak çalışabilmelidir. 14

27 Devir sayısı, hızlı ve düzgün şekilde değiştirilebilmelidir. Yani küçük boyuttan büyük moment elde edilebilmelidir Servo motor çeşitleri İlk zamanlarda servo motor genelde DA motorlardı. Çünkü uzun yıllar yüksek akımlar için tek kontrol yöntemi tristör kullanılmaktaydı. Transistorlar yüksek akımları kontrol etme yeteneği kazandıkça ve yüksek akımları yüksek frekanslarda anahtarlandıkça servo motorlar daha sık kullanılmaya başlanmıştır. İlk servo motor özellikle güçlendiriciler için tasarlanmıştı. Step motor kullanılmayan kapalı devre (çıkışın kontrol edildiği) sistemlere servo sistem diye adlandırılmaktadır. Bu yüzden hız kontrolcüye bağlanmış basit bir AA endüksiyon motorunun da servo motor olarak adlandırmak mümkündür. İki farklı servo motor çeşidi mevcuttur. Bunlar: Alternatif akım servo motorlar: Günümüzde, AA servo motorlar hem düşük hem de yüksek güç uygulamalarda kullanılmaktadır. AA motorların yapıları basit, ataletleri düşüktür. Ancak, doğrusal olmayan özellik gösteren ve yüksek manyetik bağa sahip makinelerdir. Ayrıca moment-hız karakteristikleri DA servo motorlarındaki gibi ideal değildir, bunların yanı sıra AA servo motorları aynı boyuttaki DA servo motor ile karşılaştırıldıklarında daha düşük momente sahiptir (Elektro Forum). Şekil 3.10 da çeşitli tipteki AA servo motorları görülmektedir. Şekil AA servo motorlar (Elektro Forum) İki çeşit alternatif akım servo motor mevcuttur; İki-faz servo motorlar; Kontrol sisteminde kullanılan çoğu AA servo motor iki faz sincap kafesli asenkron 15

28 makinelerdir. Frekansları normal olarak 60 Hz veya 400 Hz olabilir. Yüksek frekans hava yolu sitemlerinde kullanılmaktadır. Üç fazlı servo motorlar; Yüksek güç servo sistemlerin uygulama alanlarında yaygın olarak kullanılmaktadır. Ancak son yıllarda yüksek-güç sistem uygulamalarında üç faz asenkron motorun servo motor olarak kullanımı üzerine yapılan araştırmalar başarıya ulaşmış ve üç fazlı asenkron motor yüksek güç uygulamalarında hızlı bir şekilde yerini almaya başlamıştır. Üç fazlı asenkron motor yapı olarak dayanıklı olmakla beraber doğrusal olmayan bir özelliğe sahiptir ve bundan dolayı kontrolü karmaşıktır. DA servo motorlar: Genel olarak bir DA motoru olup, motora gerekli olan doğru akım üç farklı metottan elde edilir. Bunlar sırasıyla; Bir elektrik yükselteçten; Alternatif akımın doyumlu reaktörden geçirilmesinden, alternatif akımın tristörden geçirilmesinden ve amplidin, retotrol, regüleks gibi dönel yükseltgerlerin kullanılmasıdır. DA servo motorlar çok küçük güçlerden çok büyük güçlere kadar imal edilirler. Bu motorlar klasik DA motorları gibi imal edilirler. Bu motorlar küçük yapılıdırlar ve endüvileri (yükseklik, uzunluk / Çap oranıyla) kutup atalet momentini en küçük yapacak şekilde tasarlanırlar. DA servo motor çalışma prensibi açısından aslında, statoru daimi mıknatıs bir DA motoru gibidir. Daimi mıknatıslar tarafından meydana getirilen manyetik alan ile içinden akım geçirilen iletkenler arasındaki etkileşim nedeniyle bir döndürme momenti meydana gelir. Bu döndürme momenti manyetik alan vektörü ile sargı akım vektörü arasındaki açı 90 olduğunda maksimum değerini almaktadır. Bir DA servo motorda fırçaların konumları, her iki dönüş yönü için de döndürme momenti açısının 90 olmasını sağlayacak şekilde belirlenmiştir. Kolektör segmentlerinin fazla olması neticesinde momentin sıfır bir noktada rotorun hareketsiz kalması engellenmiş olur. Şekil 3.11 de tez çalışmasında kullanılan HS-311 servo motorlardan biri görülmektedir (Güzel, 2008). Şekil Servo motor (Güzel, 2008) 16

29 DA servo motor yabancı uyartımlı DA motorlar veya kalıcı mıknatıslı DA motorlardır. Servo sistemde çalıştığında, motor alan ya da endüvi kontrollü olabilir ve endüvi ya gerilim kaynağından ya da akım kaynağından beslenir. Her kombinasyon farklı tork hız karakteristiği sunar. Şekil 3.12 de DA servo motorun çalışma prensibi gösterilmektedir. Bunların farklı bağlantıları DA servo motor çeşitleri olarak adlandırılmaktadır. Bunlar: Alan Kontrollü-Sabit Endüvi Gerilimli Beslemeli. Alan Kontrollü-Sabit Endüvi Akım Beslemeli. Endüvi Kontrollü-Sabit Alan Beslemeli. Seri Ayrık Alanlı Servo Motorlardır (Elektro Forum). Şekil DA servo motor çalışma prensip şeması (Elektro Forum) Servo motorların kullanım alanları Servo motorlar, elektrik motorları olup özellikle kontrol sistemlerinde çıkış hareketini kontrol edici olarak kullanılmak üzere tasarlanır ve üretilirler, ayrıca kontrol motorları olarak da adlandırılırlar. Servo motorların kullanım alanları çok geniştir, bu alanlardan bazıları şunlardır: Bilgisayarlar ve hafızalı makineler, 17

30 Endüstriyel aletler, 400 Hz frekanslı olanları askeri silah sanayi, Küçük rotorlu olan saatler, oyuncaklar, bazı vantilatörler, Elektrik santralleri, alternatör devir ayar sistemleri, Tam otomatik regülatörlerin kumanda sistemleri, Sayısal kontrollü makinelerin; pozisyon belirlenmesinde, çalışma kararlılıklarının sağlanmasında Altera DE2-115 eğitim boardu Altera Firmasının ürettiği Altera DE2-115 Eğitim Boardunun amacı dijital sistemleri, bilgisayar organizasyonlarını ve FPGA konularını geliştirmek için ideal bir ortam oluşturmaktır. Bu board çok zengin alternatifler sunarak hem öğrencilere hem de profesyonel düzeyde çalışma yapan kişilere hitap etmektedir. Ayrıca bu boarda ayrı materyallerde bağlanabilir. Şekil 3.13 de Altera DE2-115 Eğitim Boardu görülmektedir. Şekil Altera DE2-115 eğitim boardu 18

31 Altera DE2-115 Eğitim Boardunun özellikleri aşağıda verilmiştir. FPGA Cyclone II EP2C35F672C6 FPGA. EPCS16 seri yapılandırma cihazını içermektedir. Giriş / Çıkış Birimleri FPGA yapılandırması için dahili USB Blaster. 10/100 Ethernet, RS-232, Infrared port. Video çıkışı (VGA 10-bit DAC). Video girişi (NTSC/PAL/Multi-format). USB 2.0. PS/2 fare ve klavye portları. Line-in, Line-out, microfon (24-bit audio CODEC). Genişleme yuvaları (76 signal pins). Hafıza 8-MB SDRAM, 512-KB SRAM, 4-MB Flash. SD hafıza kart slotu Anahtarlar, LED ler, Ekran ve Clocks. 18 geçiş anahtarları. 4 buton. 18 kırmızı LED, 9 yeşil LED. 7-segment displays. 16 x 2 LCD display. 27 MHz ve 50 MHz oscillators FPGA mimarisi Günümüzde devre tasarımında boyutların küçülmesi yanında hız da büyük önem kazanmıştır. Uygulama alanlarının çeşitliliğinin artmasıyla artık uygulamaya özgü devreler ASIC ler (Application Specific Integrated Circuits) geliştirilmiştir. Fakat uygulamanın mimarisinde en ufak değişmelerde bile entegreyi yeniden tasarlayıp üretmek gerekli olmuştur. Devre tasarımı kadar layout (serim düzeni) tasarımı da çok güç hale gelmektedir. Aynı zamanda birkaç bin adet üretim/satış bile yonga (chip) tasarımı ve üretim hazırlığı maliyetlerini karşılamayabilir. Çoğu durumda daha 19

32 önceden seri olarak üretilmiş programlanabilir yongalar kullanmak ASIC üretmekten ve ürettirmekten çok daha uygun olmaktadır (Usuk vd., 2006). Bağlantı hatlarıyla, lojik kapıları (AND-OR) ve flip-flop ları tekrar konfigüre edilebilen devrelere programlanabilir yonga denir. Başlıca programlanabilen yonga mimarileri şunlardır: Simple Programmable Logic Devices (SPLD). Complex Programmable Logic Devices (CPLD). Application Specific Integrated Circuit (ASIC). Field Programmable Logic Devices (FPGA). Şekil Programlanır mantık aygıtları genel sınıflandırma(aydın, 2005) İlk programlanır devreler genel olarak programmable logic device (PLD) olarak bilinirler. Özgün bileşen, 1970 yılında sunulan ve daha basit olan PROM lardır ama programlanır mantık aygıtları PLD ler kabul edilir. Genel sınıflandırma Şekil 3.14 te verilmiştir. Genel sınıflandırma ile birlikte Şekil 3.15 te PLD, CPLD ve FPGA nın yapısı gösterilmiştir. 20

33 Şekil a)basit PLD yapısı b) Karmaşık PLD yapısı c) FPGA yapısı Simple programmable logic devices (SPLD) SPLD ler kapasiteleri en düşük, bunun sonucu olarak da en ucuz programlanabilir lojik ünitelerdendir. Bir SPLD ünitesinde 4 ile 22 arası hücre vardır. PROM: En basit PLD çeşididir. Sabit VE kapısı fonksiyonlarının VEYA kapı fonksiyonlarını sürmesi şeklinde oluşturulmuştur. PLA (Programmable Logic Array): Basit PLD ler içerisinde kullanıcıya en fazla yapılandırma imkânı verendir. Çünkü VE ve VEYA dizilerinin her ikisi de programlanabilir. Diğer özellikleri; İki adet programlanabilme düzlemi mevcuttur. Herhangi bir AND/OR kombinasyonu gerçekleştirilebilir. PAL dan daha hızlıdır. PAL (Programmable Array Logic): Programlanır dizi mantıkları PROM ların tam tersi bir yapıya sahiptir. VE dizileri programlanır ve VEYA dizileri önceden tanımlıdır. Diğer özellikleri; Bir adet programlama düzlemi mevcuttur. Sınırlı sayıda AND/OR kombinasyonu gerçekleştirilebilir. PLA dan yavaştır. 21

34 GAL (Generic Array Logic):PAL mantığında olup CMOS (Complementary Metal Oxide Semiconductor) elektriksel silinir özellik sağlar. Lattice Semiconductor Corp tarafından üretilmektedir CPLD (Complex Programmable Logic Device) Artan kapasite ihtiyacı neticesinde 1984 yılında Altera firması CMOS ve EPROM teknolojilerine dayanan karmaşık programlanır mantık aygıtlarını (CPLD) geliştirdi. CMOS kullanarak küçük güç tüketimi ile büyük işlevsel yoğunluk ve karmaşıklık sağlanmış oldu (Aydın, 2005). Genelde SPLD ile aynı yapıdadır ama daha yüksek kapasiteye sahiptirler. Yapısında 2 ile 64 adet SPLD bulunmaktadır. Diğer bir deyişle PLD lerden oluşan bir programlanabilir yongadır diyebiliriz. Şekil 3.16 da CPLD yapısı gösterilmektedir. Şekil Genel CPLD yapısı (Aydın, 2005) ASIC (Application Specific Integrated Circuit) ASIC ler çok geniş ve karmaşık işlevleri desteklemelerine rağmen oldukça pahalı ve zaman harcayan sürece sahiptirler. Üstelik tasarımın geri dönüşü yoktur. Uygulamaya özgü tüm devre kendi içerisinde dört alt başlıkta incelenir. Şekil 3.17 de bu başlıklar gösterilmektedir. 22

35 Şekil ASIC tasarım yöntemleri FPGA (Field Programmable Logic Devices) Sayısal tüm devre sürecinde 80 li yıllarda belli boşluklar görülmeye başlandı. Bir tarafta SPLD ve CPLD gibi programlanır yongalar vardı. Bunlar yüksek yapılandırılabilme, hızlı tasarım ve değişiklik sürelerine sahiptiler ama geniş ve karmaşık tasarımları destekleyemiyorlardı. Tüm devre yaklaşımları arasındaki boşluk Şekil 3.18 de gösterilmektedir. Diğer tarafta ise ASIC tasarımı yer alıyordu. Çok karmaşık işlevleri desteklemelerine rağmen, oldukça pahalıydılar. Ayrıca tasarımın geri dönüşü yoktu. Şekil PLD ve ASIC yaklaşımları arasındaki boşluk Tüm devre yaklaşımları arasındaki boşluğu doldurmak amacıyla Xilinx firması FPGA adını verdiği yeni bir komut sınıfı geliştirdi ve 1984 yılında pazara sunulacak hale getirdi. İlk FPGA lar CMOS tabanlı ve yapılandırma için SRAM hücreleri 23

36 kullanıyordu. İlk örnekleri çok daha basit olmalarına rağmen temelde var olan mimari çoğu açıdan hala kullanılmaktadır (Aydın, 2005). FPGA, programlanabilir mantık blokları ve bu bloklar arasındaki ara bağlantılardan oluşan ve geniş uygulama alanlarına sahip olan sayısal tümleşik devrelerdir. Tasarımcının ihtiyaç duyduğu mantık fonksiyonlarını gerçekleştirme amacına yönelik olarak üretilmiştir. Dolayısıyla her bir mantık bloğunun fonksiyonu kullanıcı tarafından düzenlenebilmektedir. FPGA ile temel mantık kapılarının ve yapısı daha karmaşık olan devre elemanlarının işlevselliği artırılmaktadır. Alanda programlanabilir ismi verilmesinin nedeni, mantık bloklarının ve ara bağlantıların imalat sürecinden sonra programlanabilmesidir. Çok karmaşık işlemler için ve özellikle de gerçekleştirilen işlemin zamanla değiştirilmesi gerekiyor ise SPLD ler yeterli değildir. Bu gibi yerlerde CPLD ve FPGA kullanılabilir. Bu iki tip entegre devreler birbirlerine benzemekte ayrıca devre mimarisi ve konfigürasyon şekli ile birbirlerinden ayrılmaktadırlar. FPGA lar, SPLD ve CPLD lerden farklıdır, ayrıca daha yüksek lojik kapasite imkânı sağlamaktadırlar. FPGA programlanabilir input/output blokları tarafından kuşatılan ve programlanabilir alt bölümler ile bağlantılı lojik blok dizilerinden oluşurlar. Şekil 3.19 da FPGA mimarisinin üstten görünümü gösterilmektedir. Şekil Genel FPGA mimarisi üstten görünümü 24

37 ASIC lerin yüksek maliyeti, tasarım ve test aşamalarındaki gecikmeleri nedeniyle FPGA ları çekici kılmaktadır. FPGA mimarisinin farklı bir gösterim biçimi Şekil 3.20 dedir. FPGA ların diğer avantajları şu şekilde sıralanabilir: Mikroişlemciler gibi adım adım işlemler yerine paralel (aynı zamanlı) işlemler gerçekleştirebilirler. Bu yüzden klasik mikro işlemcilerden kat kat daha hızlıdırlar. Daha esnek yapıya sahiptirler. Tekrar programlanabilirler. ASIC lerden daha az riskli ve daha az işlem gerektirmektedirler (Kale vd., 2006). Şekil Genel FPGA mimarisi (Kale, 2006) Donanım Tanımlama Dili (VHDL-Verilog) VHDL sayısal elektronik sistemleri tanımlamak ve bir FPGA içine gömmek için kullanılan bir donanım tanımlama dilidir. Bu dil Birleşik Devletler Hükümeti nin Çok yüksek hızlı tüm devreler (Very High Speed Integrated Circuits, VHSIC) programı çerçevesinde 1980 yılında başlatıldı. Program çerçevesinde geliştirme çalışmaları sürerken tüm devrelerin yapılarını ve fonksiyonlarını tanımlamak için standart bir programlama diline ihtiyaç duyulduğu anlaşıldı. Bu ihtiyaçtan dolayı VHSIC Hardware Description Language (HDL) geliştirildi (Usuk, 2003). 25

38 HDL; devre tasarımlarının dokümantasyonu ve simülasyonu amacıyla geliştirilmiştir. Verilog dili donanım tanımlama dili olarak tasarlanmıştır. Genel olarak yapısal model (Structual), dataflow model ve davranışsal model (behavioral) olmak üzere üç kısımda yazılır. Yapı olarak kullanıcıya en yakın model davranışsal modeldir. Şematik tasarım kullanarak yapılacak işlemler de bu modelle yapılabilir. Fakat daha karmaşık sistemlerde şematik tasarım işi zorlaştırdığı için Verilog dili ile her üç yapıyı bir arada kullanmak hata denetimini daha kısa zamanda yapmayı sağlamaktadır. Bu üç ayrı tasarım şeklini aynı kod bloğunda gerektiği yerde davranışsal gerektiği yerde yapısal veya dataflow yapısını kullanılarak yazılım daha esnek ve kolay anlaşılabilir hale getirilebilir. Verilog da tasarım yapılırken her zaman kullanılacak FPGA boarduna ait giriş ve çıkış birimleri hangi girişin hangi porta gireceği ve hangi portun çıkış olarak kabul edileceği belirlenir. Verilog dilinde tasarım yapılırken; fonksiyonların hata denetimini ve alternatif çözümleri görmek amacıyla Şekil 3.21 de gösterilen adımları takip etmek işi kolaylaştırır. Şekil 3.21.Verilog da tasarım hiyerarşisi Verilog dilinde, diğer programla dillerinde olduğu gibi programın daha düzenli çalışmasını sağlayan alt programlar vardır, ama bu alt programlar Verilog ta zorunlu kullanılır ve modül adını alır. Modüller, giriş çıkış portlarının belirtildiği donanım üzerinde hangi portların kullanılacağı hangi portların kullanılmayacağının ve giriş çıkış sinyallerinin belirtildiği bölümdür. Modül yazmanın birkaç değişik yolu vardır. 26

39 Şekil 3.22 de, önce sinyal isimlerinin ve bu sinyallerin giriş veya çıkış olduğunun belirtildiği yazım tekniği olan Verilog 1995 versiyonunda yazılmış bir modül gösterilmektedir. Şekil Verilog da modül Verilog 2001 versiyonunda modüle ait değişken isimleri giriş/çıkış tanımlamaları aynı başlık içerisinde yazılabilir. Şekil 3.22 de örnek bir modül gösterilmektedir. Her iki yazım tekniğinde de modüller endmodule anahtarı ile sonlandırılmıştır. Verilog case sensetive bir yapıya sahip olduğundan operatör kelimeler küçük harflerle yazılmaktadır Verilog dilinde üç çeşit yapı ile tasarım yapılabilir. Bu üç yapı, tasarımın karmaşıklığına bağlı olarak kullanılabilir. Yapısal stil (Structural): Ön tanımlı primitive kapılardan veya alt modüllerden yararlanılır. Genel olarak ön tanımlı kapı kullanıldığında ilk yazılan değişken çıkış olur. Not, and ve or ön tanımlı primitive kapılardır. Şekil 3.23 de kod bloğunda 4 girişli bir mux tasarımı yapısal tarzda ifade edilmiştir. 27

40 Şekil Yapısal stil (Başak, 2011) Veri akışı stili (Data Flow): Giriş sinyalleri, çıkış sinyallerinin bir fonksiyonu olarak yazılır. Genel olarak behavioral stille beraber kullanılır. Karmaşık tasarımlarda hata çözme işi uzun sürer. Şekil 3.24 de dört girişli bir Mux tasarımı yapılmıştır. Structural yapıya göre aynı tasarım daha karmaşık bir yapıya sahiptir. Şekil Veri akışı stili (Başak, 2011). 28

41 Davranışsal stil (Behavioral): Tasarlanmak istenen sistem always blokları içinde programlama dillerinde de kullanılan if-else veya case yapıları kullanılarak yapılır. Always blokları içerisine sadece reg tipi sinyallere atama yapılabilir. Always bir duyarlılık listesine (*) ile always bloğu içerisindeki değişkenlerde olabilecek herhangi bir değişimde fonksiyon yeniden işlenir (Başak, 2011). Şekil 3.25 te 4 girişli bir mux tasarımı yapılmıştır. Structural ve dataflow yapısına göre daha anlaşılır bir yapıdır. Kullanıcıya en yakın yazım tarzıdır. Hata çözme ve derleme işlemi diğerlerine göre daha kısa sürede gerçekleşir. Şekil Davranışsal stil (Başak, 2011) FPGA programlama Her FPGA üretici firma kendine has terminoloji, teknik ve iletişim kurallarına sahiptir. Temelde FPGA iki durumda çalışır. Bunlar: Kullanıcı kipi; Normal çalışma durumudur. Yapılandırma kipi; İlgili bacaklar ile programlama durumudur. 29

42 Yapılandırma için üç temel yöntem kullanılır. Bunlar: Bilgisayara ile programlama kartı arasına bağlanan kablo (seri, paralel, USB) ile ara yüz programı kullanılarak. Kart üzerindeki FPGA ya bağlı özel bellek yardımıyla her enerji verildiğinde. Kart üzerindeki uyarlanmış mikro denetleyici ile programlama. FPGA satıcıların sunduğu birçok programlama ve geliştirme kartları mevcuttur. Kullanılacak FPGA yongasının özellikleri belirlendikten sonra uygun donanım seçilmelidir. Şekil 3.26 da iki adet örnek geliştirme kartı verilmiştir. Şekil a) Stratix GX geliştirme kartı b) Cyclone II EP2C35 DSP geliştirmekartı FPGA ile uygulama geliştirme FPGA ile istediğimiz programı gerçeklemek için Şekil 3.27 de verilen akış şemasını takip etmektedir. 30

43 Tasarım Girişi Sentez İşlevsel Benzetim Hayır Tasarım Doğru mu? Evet Yerleştirme Zaman Analizi ve Benzetim Hayır Zaman Gereksinimi Karşılandı mı? Evet Programlama ve Yapılandırma Şekil FPGA akış şeması Tasarım girişi; İstenen devre şematik çizimler ile yapılır. Lojik kapı kullanımı arttıkça Verilog veya VHDL gibi donanım tanımlama dillerinden herhangi birini kullanmak kaçınılmaz olmuştur. Bu diller, sayesinde elektronik bileşenlerin davranışsal ve yapısal tanımlaması kolayca yapılarak esnek ve hızlı tasarım yapılmaktadır. 31

44 Sentez; Devre için gerekli olan mantıksal öğeler ve bu öğeler arasındaki bağlantılar oluşturulmaktadır. İşlevsel benzetim; Sentezlenen devre bu adımda doğruluğu açısından sınanır. Hata durumunda tasarımda değişiklik yaparak önceki basamaklar tekrarlanır. Yerleştirme; İlgili yerleştirme araçları, bağlantı listesinde tanımlanmış mantıksal öğelerin FPGA yongası içerisindeki gerçek mantıksal öğelere yerleşimini yapar. Mantıksal öğeler arasındaki gerekli bağlantılar için yönlendirme hatları belirlenir (Aydın, 2005). Zaman analizi ve benzetimi; Bu aşamada ise yerleştirilmiş devre, zamanlama ve doğruluk açılarından sınanır. İstenen zaman gereksinimleri sağlanamazsa tasarım sürecinde ilk adıma dönülür ve tasarımdaki hata ile ilgili gerekli düzenleme yapılır. Programlama ve yapılandırma; Son aşamada belirli donanımlar üzerinden, gerçek FPGA üzerinde devre programlanır. Yapılandırma ile mantıksal öğeler istenen şekilde yapılandırılır FPGA kullanım alanları FPGA mimarisinin 1980 den günümüze kadar kullanım alanları genel olarak aşağıda verilmiştir. Bunlar: İlk kullanım 1980 ortalarında ara yapıştırıcı mantık ve kısıtlı veri işleme görevleri, 90 ların başında artan kapasite ile haberleşme ve ağ ortamları, 90 ların sonlarına doğru tüketiciye yönelik otomotiv ve endüstriyel sanayi, 2000 lerde milyonlarca kapı içeren yüksek performanslı modeller (Ek olarak gömülü mikroişlemci çekirdekleri, yüksek hızlı I/O ara yüzleri ve RAM ), Kriptoloji uygulamaları, Tıbbi görüntüleme sistemleridir. 32

45 3.2. Yöntem Yapılan bu tezde iki ayrı işlem basamağı uygulanmıştır. Bunlar; Mekanik kol tasarımı Robot kolun kontrolünde kullanılan, sürücü yazılımının hazırlandığı Quartus II derleyicisinin kullanımı Robot kol Robot kol beş işlevsel ekleme sahiptir. Bunlar sırasıyla taban, omuz, dirsek, bilek ve tutaçtır. Robot kolun yerel koordinat sistemi Şekil 3.28 de görülmektedir. Şekil Robot kolun yerel koordinat sistemi Global koordinat sistemi ve eklemler arası uzaklıktan Şekil 3.28 de görülen yerel koordinat sistemleri çıkartılır. Robotun eklemleri arasındaki uzaklık değerleri XZ düzleminde Şekil 3.29 da gösterilmiştir. 33

46 Şekil Beş eksenli robot kolun eklem uzaklık değerleri Oluşturulan robot kol beş ekleme sahiptir. Bu eklemlerin üç tanesi menteşe (hinge movement) görevi yapmaktadır. Buna karşın diğer bir eklem eksen etrafında dönme (Pivot movement) hareketi geçekleştirmektedir. Son eklemde tutaç (grip) eklemidir. Şekil 3.30 da yapılan mekanik kol ve eklemler kırmızı olarak gösterilmektedir. Bu düzenekte beş adet servo motor kullanılmıştır. Eklemlerde kullanılan servo motorlar 4 V 6 V gerilimle çalışan ayrıca karakteristik özelliklerine ve uygulamaya bağlı olarak 100 ma ile 2 A aralığında akım çekebilmektedirler. Şekil Beş eklemli robot kol 34

47 Robot kol sürücü devresi ve yazılımı için Quartus II derleyici kullanımı Bu sistemde, robot kolun (servo motorların) kontrolü, dijital haberleşme, network ağları, video ve resim işleme gibi alanlarda yüksek performans sağlayan, sinyal işleme uygulamalarının önemli bir parçası olan FPGA mimarisi ile gerçekleştirilmiştir. Servo motorların kontrolünü Altera Firmasının ürettiği Quartus II derleyicisi kullanarak hazırlanan yazılımla gerçekleştirilmiştir. Quartus II derleyicisinin ara yüzü Şekil 3.31 de gösterilmiştir. Şekil Quartus II derleyicisi Quartus II derleyicisinde tasarım yapabilmek için öncelikle yeni bir proje oluşturması gereklidir. Şekil 3.32 de belirtilen File menüsünden New Proje Wizard seçeneği ile yapılmaktadır. 35

48 Şekil Quartus II derleyicisi file menüsü New Proje Wizard seçeneği tıklandığında Şekil 3.33 deki diyalog penceresiyle karşılaşılır. Bu pencere yeni proje oluşturma aşamalarını göstermektedir. Bu pencerede Don t Show me this introduction again onay kutusu seçilerek her proje oluşturulduğunda bu pencerenin görüntülenmesi engellenebilir. Şekil Yeni proje oluşturma görev sihirbazı 36

49 Next butonuna tıklandıktan sonra proje adını ve çalışmaların kaydedileceği dizini belirleneceği Şekil 3.34 deki pencere ile karşılaşılır. Şekil Yeni proje isim ve dizin belirleme Next butonuna tıklandığımızda projeye dahil etmek istenilen farklı dosyalar varsa Şekil 3.35 teki pencere ile karşılaştıktan sonra Add seçeneğine tıklanarak istenilen dosyalar eklenebilir. 37

50 Şekil Projeye dosya ekleme penceresi Projeye eklenecek herhangi bir başka dosyanın olmadığını varsayıp Next butonuna tıklanarak Altera firmasının ürettiği board modellerinin bulunduğu Şekil 3.36 daki pencere ile karşılaşılır. Şekil FPGA board modeli seçme penceresi 38

51 FPGA Boardunun modeli belirlenip Next butonunu tıkladıktan sonra yapılan tüm ayarların özetinin yer aldığı Şekil 3.37 deki pencere ile karşılaşılır. Şekil Proje ayarları özet penceresi Finish butonuna tıklanarak yeni proje oluşturma sihirbazı bitirilmiş olur. Yeni oluşturulan proje sayfaya eklendiğinde Şekil 3.38 deki pencere açılır. Artık yeni bir dosya oluşturulup şematik veya donanım tanımlama dillerinden birisi kullanılarak modüller oluşturulabilir. 39

52 Şekil Oluşturulan projenin programa eklendikten sonraki program ara yüzü Yeni bir belge oluşturmak için File menüsünden New seçeneği ya da araç butonlarından New seçeneği seçilir ve Şekil 3.39 daki pencere ile karşılaşılır. Şekil Yeni belge oluşturma penceresi 40

53 New seçeneği seçildikten sonra Şekil 3.40 daki pencere açılır. Burada hazırlanacak projede şematik tasarım veya donanım tanımlama dillerinden hangisinin kullanılacağına karar verilmelidir. Tasarıma bunlardan herhangi biriyle başlanıp daha sonra diğeriyle devam edilebilir. Şekil Yeni oluşturulacak belgenin türü Block Diyagram/Schematic File seçeneği seçildiğinde.bdf uzantılı belge oluşturulur ve proje sayfasına Şekil 3.41 deki lojik tasarımın yapılabileceği araç butonları eklenir. Şekil Lojik tasarım araç çubuğu Symbol Tool araç butonuna tıklandığında Şekil 3.42 de görülen Symbol penceresi açılır. Kütüphaneden istenilen tüm lojik yapılara ulaşılıp bu lojik kapılar proje sayfasına eklenebilir. 41

54 Şekil Symbol penceresi Belgeye eklenmek istenilen lojik ifade seçilip OK butonuna tıklanarak o lojik ifadenin belgeye eklenmesi sağlanır. Şekil 3.43 te NAND kapılarından elde edilen D flip-flop görülmektedir. Şekil NAND (Değil) kapıları kullanılarak oluşturulan D tipi flip-flop Tasarımın ilerleyen aşamalarda karmaşıklaşabilmesinden dolayı şematik tasarım pek fazla tercih edilmemektedir. FPGA ile tasarımın donanım tanımlama dillerinden 42

55 birisinin kullanılarak yapılması daha mantıklı olacaktır. Şekil 3.38 daki belge türü seçme penceresinden VHDL File ya da Verilog HDL File seçeneklerinden biri seçilebilir. Bu tez Verilog HDL ile yapılacağı için Verilog HDL File seçeneği ile yeni belge oluşturulur. Şekil 3.44 de gösterildiği gibi.v uzantılı belge ve Text Editor araç çubuğu proje sayfasına eklenir. Bundan sonra kod ile tasarım yapılmaya başlanabilir. Şekil Text editor araç çubuğu Verilog da hazırlanacak program Altera DE2-115 Eğitim Boarduna yüklenip kullanılacağı için öncelikli olarak boardun giriş/çıkış birimlerinin Verilog da tanımlanması gereklidir. Örneğin robot kolun kontrolünde kullanılacak anahtarların Verilog da tanıtılması gereklidir bunun tanıtımı da aşağıdaki kod satırıyla yapılır: input [17:0] SW; Bu kod satırı Altera DE2-115 Eğitim Boardun üzerinde bulunan on sekiz adet switchin (anahtarın) sisteme veri girişi olarak kullanılacağını belirtir. Altera DE2-115 Eğitim Boardunun üzerindeki birimlerden tasarlanan sistemde kullanılacakların programın başında aşağıda gösterildiği gibi mutlaka tanımlanmalıdır. module DE2_TOP(GPIO_0, GPIO_1,SW,KEY); input [17:0] SW; input [3:0] KEY; inout [35:0] GPIO_0; inout [35:0] GPIO_1; assign GPIO_0 = 36'hzzzzzzzzz; assign GPIO_1 = 36'hzzzzzzzzz; Tanımlamalar bittikten sonra asıl kodlar yazılmaya başlanabilir. Verilog donanım tanımlama dili ilk olarak 1984 yılında ortaya çıkmıştı ve o zamanlar C programlama dili popüler bir dildi, söylentilere göre Verilog un özelliklerinin birçoğu C dilinden 43

56 alınmıştır. O yüzden C diline hakim olan biri Verilog HDL de program yazarken çok fazla zorlanmaz. Verilog da kullanılacak birçok deyim (if, else, repeat, while, for, case) C dili gibi görünebilir ama deyimler kullanılırken çok dikkatli olunmalıdır. Çünkü hazırlanan kodlar donanıma dönüştürüleceği için kod kısmında yapılan basit bir hata fazla akım çekip boardun yanmasına sebep olabilir. 44

57 4. ARAŞTIRMA BULGULARI 4.1. Robot Kolun Altera DE2-115 Eğitim Boarduna Bağlanması Bu tez kapsamında gerçekleştirilen robot kol üzerinde beş adet servo motor kullanılmıştır. Kullanılan servo motorların üç bağlantı ucu bulunmaktadır. Bu bağlantı uçlarından biri power (4 V ile 6 V arasında), biri toprak, diğeri ise kontrol girişi (KG) için kullanılmaktadır. Bağlantı ucu kablolarının renkleri genellikle power için kırmızı, toprak için siyah ve kontrol için beyazdır. Gerçekleştirilen sistemde Şekil 4.1 de gösterilen blok şemaya göre robot kol (servo motorlar) FPGA boarduna bağlanmıştır. Şekil 4.1. Gerçekleştirilen sistemin blok şeması 45

58 Gerçekleştirilen sistemde servo motor üzerindeki güç, toprak ve kontrol kabloları Altera DE2-115 Eğitim Boardunun GPIO birimlerine bağlanmıştır. Board üzerindeki GPIO birimi Şekil 4.2 de gösterilmiştir. Board üzerinde iki adet GPIO birimi bulunmaktadır ve her GPIO biriminde bir adet 5 V, bir adet 3.3 V, iki adet toprak ve otuz beş adet giriş/çıkış birimi olmak üzere toplamda kırk adet bağlantı ucu bulunmaktadır. Şekil 4.2. Altera DE2-115 GPIO birimi Gerçekleştirilen robot kol üzerinde kullanılan beş adet servo motorun güç ve toprak bağlantıları GPIO birimlerine paralel olarak yapılmıştır. Üç adet servo motoru paralel bağlayıp birinci GPIO birimine takarken bu motorlara ait kontrol uçlarının da aynı GPIO birimine bağlandığına dikkat edilmelidir yoksa robot kol düzensiz çalışır. Kontrol sinyalinden gelecek olan PWM (Pulse Width Modulation- Darbe Genişlik Modülasyonu) sinyaline göre güç değeri ayarlanır ve motorun dönmesi sağlanır. Çıkış olarak kullanılacak portların tanımlanması aşağıdaki kod bloğu ile gerçekleştirilmiştir. Assign kodu ile atama yapılmıştır. Böylelikle oluşturulan PWM sinyalleri assign anahtarı ile GPIO data pinlerine atanmıştır. 46

59 assign GPIO_0[0] =pwm_out1; assign GPIO_0[2] =pwm_out2; assign GPIO_1[0] =pwm_out4; assign GPIO_1[2] =pwm_out4; assign GPIO_1[4] =pwm_out5; 4.2. Robot Kol Sürücü Devresi Yazılımının Hazırlanması Bu tez çalışması kapsamında hazırlanan yazılımın akış şeması Şekil 4.3 te gösterilmiştir. Gerçekleştirilen sistemin çalışmasına yönelik işlem basamakları şunlardır: Giriş Çıkış birimlerinin tanıtılması, Kullanılacak değişkenlerin sisteme tanıtılması, Hangi motorun (eklemin) çalışacağının belirlenmesi, Seçilen motorun (eklemin) kaç derece açıyla, hangi yöne döneceğinin belirlenmesi, Dereceye göre sayaç değerinin belirlenip fonksiyona gönderilmesi, Üretilen pulsa göre motorun döndürülmesi (robot kolun hareketi) sağlanır. 47

60 Başla Giriş/Çıkış Birimlerinin Tanıtılması Değişkenlerin Sisteme Tanıtılması Yönlendirilecek Eklemin Seçilmesi Eklemin Yönünün Seçilmesi Counter Değerinin Ayarlanması Counter Değerinin Ayarlanması Yönlendirilecek Eklemin Seçilmesi Eklemin Yönünün Seçilmesi Counter Değerinin Ayarlanması Counter Değerinin Ayarlanması Pulse Değerine Göre Motora Sinyallerin Gönderilmesi Dur Şekil 4.3. Robot kol sürüce devresi programının akış şeması 48

61 Robot kol tasarımında kullanılan servo motorların pozisyon kontrolü için PWM kullanılmıştır. PWM sinyal periyodu 20 ms, DutyCycle ı (çalışma aralığı) ise 1 ile 2 ms arasında değişmektedir. Şekil 4.4 te kare dalga formunda bir sinyal görülmektedir. 1 ms ve 2 ms motorun en son pozisyonlarını, 1,5 ms ise merkez pozisyonunu gösterir. Çizelge 4.1 de 1 ms -2 ms servo motorların darbe sürelerine göre açıları gösterilmiştir. Şekil 4.4. Kare dalga sinyali üzerinde periyot ve dutycycle Çizelge ms servo motorların darbe sürelerine göre açıları Süre (ms) Açı (derece) 1, , , , ,4 99 1,5 90 1,6 81 1,7 72 1,8 63 2,0 45 Altera DE2-115 Eğitim Boardunda 50 MHz lik sinyal kullanılmıştır. PWM oluşturmak ve servoyu kontrol edebilmek için 20 ms periyoda sahip bir sinyalin üretilmesi gerekecektir. Altera DE2-115 Eğitim Boardunda çıkış 50 MHz (20 ns) olduğundan, CLOCK_50 sinyal çıkışını oluşturulması gereken periyot değerine oranı eşitlik 4.1 de gösterilmiştir. 49

62 Sayaç Değeri = (4.1) Gerekli olan sinyali oluşturabilmek amacıyla eşitlik 4.1 in sonucuna göre a kadar sayacak bir sayaç değeri gerekecektir. Servo motor 20 ms periyoda sahip bir sinyali 0,5 ms ve 2,5 ms lik görev süreleri ile sürülür. Bu değerler aşağıdaki kod bloğuyla bulunmuştur. case(sw[8:0]) endcase 9'b0:pulse_width5<=32'd50000; 9'b1:pulse_width5<=32'd55000; 9'b10:pulse_width5<=32'd60000; 9'b11:pulse_width5<=32'd65000; 9'b100:pulse_width5<=32'd70000; 9'b101:pulse_width5<=32'd75000; 9'b110:pulse_width5<=32'd80000; 9'b111:pulse_width5<=32'd85000; 9'b1000:pulse_width5<=32'd90000; 9'b1001:pulse_width5<=32'd100000; Bu kod bloğunda case ile 9 adet switchin ilk dört bitini değiştirerek pulse_width değerlerinin ne olacağı yazılmıştır. İlk 9 switch kapalı durumda iken (0000_0000_00) pulse_width değişkenine 32 bitlik decimal sayısı atanmıştır. Böylelikle periyodu 1 ms lik bir pulse üretilir. Aynı teknik ile gönderilmiş desimal değerleri ile 1 ms ile 2 ms lik pulseler elde edilmiş olur. Yazılan aşağıdaki kod bloğu ile 32 bitlik bir cntr tanımlanmıştır. Başlangıç değeri olarak 0 ataması yapıldıktan sonra sayaç bir bit arttırılarak sayacın tasarımı gerçeklenmiştir. Daha sonra result çıkışı daha önce 3 bitlik switchlerin ON/OFF durumlarına göre pulse_width in sabit değerlerine ve cntr değişkenine atanmıştır. Buradaki amaç cntr sayarken switchlerden girdiğimiz bitlerle pulse_width değerlerini cntr değişkeninin o andaki değeriyle karşılaştırmaktır. Pulse_width değerleri servonun istenilen konuma gelmesi için gerekli olan 1 ms ve 2 ms lik sinyalleri üretmeyi sağlayacaktır. 50

63 begin if(cntr == 32'd999_999) // 20 ns * 1000 * 1000 = 20ms begin end end else begin end cntr<= 32'd0; cntr<= cntr + 32'b1; assignresult = cntr< pulse_width1; endmodule Bir adet servo motoru sürmek için gerekli modül (Şekil 4.5 ve Şekil 4.6) hazırlanıp compilation edildikten (hatalardan arındırıldıktan) sonra servo motor üzerindeki kabloları Şekil 4.2 de gösterilen GPIO birimlerine bağlanır. Servo motorun faz kablosu GPIO birimi üzerindeki 11. veya 29. bacaklardan birine, nötr kablosu GPIO birimi üzerindeki 12. veya 30. bacaklardan birine ve kontrol sinyali kablosu ise Şekil 4.1 de belirtilen bacağa bağlanarak iletişime hazır hale getirilir. Şekil 4.5. Servo motor için hazırlanan servo_pwm1 modülü 51

64 Şekil 4.6. Servo motor için hazırlanan servo_pwm1 modülünün ayrıntılı gösterimi Servo motorun FPGA boarduna bağlantısı yapıldıktan sonra boardun bilgisayara bağlantısı yapılıp bilgisayara tanıtılması gereklidir. Altera DE2 Eğitim Boarduna ait kutu içerisinden çıkan cd ile Quartus II derleyicisinin kurulumu yapılırken boarda ait driver sürücüsü, bilgisayardaki programa ait klasörün içine program tarafından atılır. Kutu içerisinden çıkan kablo ile Altera DE2 Eğitim Boardu bilgisayara bağlanır ve programa ait klasör içerisindeki driver sürücüsü ile bilgisayara tanıtımı yapılır. FPGA boardunun bilgisayara tanıtımı yapıldıktan sonra servo motoru sürme işlemi için Verilog da hazırlanılan modülün FPGA boarduna yüklenmesi gereklidir. Bu 52

65 işlem içinde menü çubuğundaki Tools seçeneği altındaki Programmer seçeneği seçilerek Şekil 4.7 de gösterilen Programmer penceresi görüntülenir. Şekil 4.7. Programmer penceresi Şekil 4.7 de görüldüğü üzere FPGA boardunun bilgisayara bağlantısı doğru bir şekilde yapılmazsa, herhangi bir donanım aygıtının bağlı olmadığını gösteren No Hardware uyarısıyla karşılaşılır ama FPGA cihazı bilgisayara ilk kez bağlanıyorsa da bu uyarıyla karşılaşılabilir. FPGA boardunu programa tanıtmak için Hardware Setup seçeneğine tıklanır. 53

66 Şekil 4.8. Hardware setup penceresi Şekil 4.8 de gösterildiği gibi USB-Blaster seçeneği seçilip Close butonu tıklanarak Hardware Setup penceresi kapatılır. Bu adımdan sonra Altera DE2 Eğitim Boardu Quartus II derleyicisine tanıtılmış ve program yüklemeye hazır duruma gelmiş olur. Şekil 4.7 de görünen Start butonu aktif hale gelir ve bu butona tıklanarak servo motoru sürmek için Verilog da hazırlanan programın FPGA boarduna yüklenmesi başlatılır. Şekil 4.9. Programmer penceresi (yazılım yüklemesi ardından) Şekil 4.9 da gösterilen Progress seçeneğinin yüzde yüze ulaşması ile FPGA boarduna yazılım yüklemesi tamamlanmış olur. 54

67 Şekil Altera DE2 eğitim boardu üzerindeki switchler Bir adet servo motor için uygulama düzgün bir şekilde çalıştırıldıktan sonra servo motorların ve modüllerin sayısı arttırılıp, yazılım robot kolu kontrol edebilecek şekilde yeniden programlanabilir. Şekil 4.11 de robot kolun kontrolü için hazırlanan modüller görülmektedir. Şekil Robot kol için hazırlanan modüller 55

68 Modüller hazırlandıktan sonra FPGA boarduna yükleme yapmadan önce program Şekil 4.12 de gösterilen compilation butonuyla tekrar derlenir ve varsa hatalar düzeltilir. Şekil Hazırlanan modüllerin derlenmesi Compilation işlemi hatasız tamamlandıktan sonra program, FPGA boarduna yüklenmeye hazır duruma gelmiştir. Tools menüsünden Programmer seçeneği ile FPGA boarduna yükleme yapma penceresine ulaşılır (Şekil 4.7). Start butonu tıklanarak robot kolun kontrolü için Verilog da hazırlanan programın FPGA boarduna yüklenmesi başlatılır. Progress seçeneğinin yüzde yüze ulaşması ile FPGA boarduna yazılım yüklemesi tamamlanmış olur (Şekil 4.9). Artık FPGA boardu üzerindeki switchler (anahtarlar) ile robot kolun kontrolü gerçekleştirilebilir. Şekil 4.10 da robot kolu kontrol etmek için kullanılacak switchler gösterilmiştir. Altera DE2-115 Eğitim Boardu üzerinde on sekiz adet switch bulunmaktadır. Bu switchlerden son beşi robot kol üzerindeki eklemlerden hangisinin aktif olacağını belirlemektedir, ilk dördü ise seçilen eklemdeki servo motorun kontrolünde kullanılmaktadır. Hazırlanan teze genel bir bakış yapıldığında; Robot kol mekanizmasında beş adet servo motor kullanılmıştır. Bu servoların önemli bazı elektriksel ve mekaniksel karakteristik özellikleri vardır. Bunlardan en önemlileri: 56

69 Güç sağlayıcı voltajı: Normal robotik uygulamalarında sıklıkla kullanılan servo motorlar için bu voltaj değeri genellikle 4 V ile 6 V aralığındadır. Bu aralığın dışında özel tipte servo motorlar da bulunabilir. Altera DE2-115 Eğitim Boardu üzerinde yer alan GPIO birimleri üzerinden servo motoru çalıştırabilecek 5 V luk çıkış alınabilir. Akım: Servo motorun bir yüke maksimum tork uyguladığında çektiği akımdır. DC motorlarda olduğu gibi bu akım değeri yüke göre değişir. Genellikle servo motorların maksimum akım aralığı 100 ma ile 2 A arasıdır. Tezde kullanılan servo motorlara her hangi bir yük taşıma işlemi yaptırılmadığı için Altera DE2-115 Eğitim Boardunun maximum 8 ma lik duyarlılık kapasitesini aşma durumu yoktur. Kontrol sinyali: Şaftın belli bir pozisyona gelebilmesi için kullanılan sinyal tipidir. Uygulamalarda kullanılan iki ana tip vardır. Bunlar 1-2 ms aralığında ve 1,25-1,75 ms aralığında sinyal değerleridir. Robot kolda kullanılan servo motorlar için bu iki ana tipten 1-2 ms aralığındaki sinyal değerleri kullanılmıştır. Robot kolun kontrolü için Altera DE2-115 Eğitim Boardu seçilmiştir. Board üzerindeki switchlerin sayısının diğer boardlara oranla fazla olması tezde kullanılan robot kolun kontrolü için büyük avantaj sağlamıştır. Ayrıca Altera DE2-115 Eğitim Boardu diğer boardlara oranla daha fazla logic elemente, daha büyük SRAM ve SDRAM alanına, bir adet ethernet girişine ve LCD panele sahiptir, bu yapılar projelerde ihtiyaç duyulan büyük bir açığı kapatmaktadır. Tezin yazılım kısmı Altera firmasının ürettiği Quartus II derleyicisinde donanım tanımlama dillerinden biri olan Verilog kullanılarak hazırlanmıştır. Verilog da kullanılacak birçok deyim C dili gibi görünebilir ama deyimler kullanılırken çok dikkatli olunmalıdır çünkü hazırlanan kodlar donanıma dönüştürüleceği için kod kısmında yapılan basit bir hata boardun yanmasına sebep olabilir. Hazırlanan yazılım Altera DE2-115 Eğitim Boarduna yüklendikten sonra board üzerindeki switchler yardımıyla robot kolun hareketi sağlanır. Tasarlanan sistem Şekil 4.13 de gösterilmiştir. 57

70 Şekil Tasarlanan sistemin genel görünümü 58

71 5. TARTIŞMA VE SONUÇ FPGA lar hızlı işlem gerektiren uygulamalarda ve paralel işlem gerektiren özel işlemler için kullanılmaktadır. Yoğun işlem ve yüksek hız gereksinimi nedeniyle gerçek zamanlı robot modellemesinde veya bu modelin kullanılacağı bir kontrol sistemi tasarımında FPGA kullanımı gerçek zamanlı sistemin gerçekleştirilebilmesini mümkün kılar. Bu tez çalışmasında dijital haberleşme, network ağları, video ve resim işleme gibi alanlarda, yüksek performans sağlayan FPGA mimarileri ile robotik sistemlerinde çok kullanılan servo motorların kontrolü sağlanmıştır. Servo motorun sürücü devresi olarak Altera DE2-115 Eğitim Boardu kullanılmıştır. Sürücü devresi için gerekli olan yazılım Verilog donanım tanımlama dili kullanılarak Quartus II derleyicisinde hazırlanmıştır. Robot kol Altera DE2-115 Eğitim Boarduna bağlandıktan sonra gerekli yazılım yüklemesi yapılmış ve board üzerindeki switchler aracılığıyla robot kolun hareketi sağlanmıştır. Verilog donanım tanımlama dilinde bir servo motor için hazırlanan sistem modül haline getirilerek beş servo motor için uygulanabilir hale getirildi. Robot kol, FPGA boarduna bağlandıktan sonra board üzerindeki switchlerin her bir bitlik hareketiyle 9º lik açı yapmaktadır. Robot bilimi; Endüstriyel, Makine, Elektrik-Elektronik ve Bilgisayar bilimlerini kapsayan geniş bir alanda çalışmayı gerektirmektedir. Bu tez ile servo motorlar, robot kollar ve FPGA mimarisi konusunda temel bilgi ve beceriler edinilmiştir. Edinilen bu tecrübeler ışığında; FPGA mimarilerini kullanılarak ileride yapılacak çalışmalarda makine mühendisliği ile işbirliğine gidilerek daha işlevsel veya daha çok eksenli mekanik düzeneklerle daha ileri düzey robot kollar tasarlanabilir. 59

72 6. KAYNAKLAR Alaer, E., Bitlik İşlemcinin FPGA Mimarileri ile Gerçekleştirilmesi. Kocaeli Üniversitesi Fen Bilimleri Enstitüsü Elektronik ve Haberleşme Mühendisliği Anabilim Dalı, Yüksel Lisans Tezi, 106s, Kocaeli. Aydın, A., FPGA Yonga Mimarisi ve Kullanımı. Süleyman Demirel Üniversitesi Mühendislik Mimarlık Fakültesi Elektronik ve Haberleşme Mühendisliği Bölümü, Bitirme Tezi, 76s, Isparta. Aydın, E., Aydın, İ., Karaköse, M.,2012, Eş zamanlı Arıza Teşhisi için FPGA Tabanlı Akıllı Durum İzleme Yöntemlerinin Geliştirilmesi, Elektronik Mühendisleri Odası Bilimsel Dergi, cilt 2, sayı 3, 13s-21s. Aydoğdu, M,F.,2010, FPGA Tabanlı, Stereo Görmeye Sahip Bir Robotta Üç Boyutta Eş Zamanlı Konumlama ve Haritalama, TOBB Ekonomi ve Teknoloji Üniversitesi Fen Bilimleri Enstitüsü Elektrik ve Elektronik Mühendisliği Anabilim Dalı, Yüksek Lisans Tezi, 74s, Ankara. Başak, S., Erişim tarihi: Verilog HDL ye giriş. Tasarimina-Giris.pdf.SelSistem Bilgi ve İletişim Teknolojileri, İstanbul. Çavdar, T., Bir Robot Kolun Tasarımı, Gerçeklenmesi, Kontrolü ve Modellenmesi, Karedeniz Teknik Üniversitesi Fen Bilimleri Enstitüsü, Yüksek Lisans Tezi, Trabzon. Çengelci, B., Çimen, H., Endüstriyel Robotlar, Makine Teknolojileri Dergisi, 2, 69s-78s. Dursun, B., Doğan, M., FMCW Radar Uygulamalarına Yönelik FPGA Tabanlı Doğrusal Tarama Denetimcisi Gerçekleştirimi, IEEE, /SIU , 1s-4s. Elektro Forum, 2012.Erişim Tarihi: Eren, İ., Gereğinden Çok Serbestlik Dereceli Robot Kolu Kontrol Sistemi Tasarımı ve Uygulaması, Pamukkale Üniversitesi Fen Bilimleri Enstitüsü Elektrik- Elektronik Mühendisliği Anabilim Dalı, Yüksek Lisans Tezi, 63s, Denizli. Güzel, S.M., Altı Eksenli Robot Kolun Hareketsel Karakteristiğinin Görsel Programlanması vegerçek Zamanlı Uygulamalar. Ankara Üniversitesi Fen Bilimleri Enstitüsü Bilgisayar Mühendisliği Anabilim Dalı, Yüksek Lisans Tezi, 126s, Ankara. Mikkelsen, J., A Machine Vision System Controling a Lynxarm Robot a long a Path, Üniversity of Cape Town, South Afrika. Özdemir, G., Mobil Robotlarda Programlanabilir Kapı Dizileri Alanı Kullanılarak Gerçek Zamanlı Modelleme, Fırat Üniversitesi Fen Bilimleri 60

73 Enstitüsü Bilgisayar Mühendisliği Anabilim Dalı, Yüksek Lisans Tezi, 64s, Elazığ. Sırmaçek, B., 2007, FPGA ile Mobil Robot İçinÖğrenme Algoritması Modellenmesi, Yıldız Teknik Üniversitesi Fen Bilimleri Enstitüsü Elektronik ve Haberleşme Anabilim Dalı, Yüksek Lisans Tezi, 103s, İstanbul. Sönmez, M., Akbal, A., 2012, Uydu Haberleşme Sistemlerinde ve Navigasyon Sistemlerinde FPGA Kullanılarak Veri İletiminin Analizi, Akademik Bilişim 2012, 1-3 Şubat, Uşak. Süzme, N., Erişim Tarihi: Bilgisayar ile Step Motor Kontrolü. Taşçı,M.,2011, FPGA Kontrollü Robotik Göz, Balıkesir Üniversitesi Fen Bilimleri Enstitüsü Elektrik Elektronik Mühendisliği Anabilim Dalı, Yüksek Lisans Tezi, 107s, Balıkesir. Usuk, E., Kale, A., Altılı, M., Erişim tarihi: FPGA ile VHDL dili kullanarak mikroişlemci tasarımı. asarimi.pdf.kocaeli Üniversitesi. Usuk, E., Kale. A., FPGA ile VHDL Dili KullanarakMikroişlemci Tasarımı. Kocaeli Üniversitesi Elektronik ve Haberleşme Mühendisliği, Rapor, 120s, Kocaeli. Wolf, D.,Holanda, A.,Bonato, V., An FPGA- Based Mobile Robot Controller, Institute of Mathematicsand Computer Science,University of Sao PauloSao Carlos, SP, Brazil. Yılmaz, M., Step Motor ile İki Eksenli Robot Kol Tasarımı, Yüzüncü Yıl Üniversitesi Fen Bilimleri Enstitüsü Elektrik-Elektronik Mühendisliği Anabilim Dalı, Yüksek Lisans Tezi, 122s, Van. Zhao, W., Kim, B., Larson, A., 2005.FPGA Implementation of Closed-Loop Control System for Small-Scale Robot, IEEE, /ICAR , 70s-77s. 61

74 ÖZGEÇMİŞ Adı Soyadı : Faruk AYATA Doğum Yeri ve Yılı : Van, 1983 Medeni Hali Yabancı Dili E-posta : Evli : İngilizce : f_ayata@hotmail.com.tr Eğitim Durumu Lise : Van Teknik Lisesi, 2000 Ön Lisans : Dicle Üniversitesi, Diyarbakır Meslek Yüksekokulu, 2003 Lisans :SDÜ, Teknik Eğitim Fakültesi, Bilgisayar Sistemleri Öğretmenliği, 2008 Mesleki Deneyim SDÜ Enformatik Bölümü (part time) Hakkari Üniversitesi Hakkari MYO (halen) 62

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Programlanabilir Lojik Basit Programlanabilir Lojik Cihazlar (Simple Programmable Logic Device - SPLD) ** PAL (Programmable

Detaylı

Servo Motor. Servo Motorların Kullanıldığı Yerler

Servo Motor. Servo Motorların Kullanıldığı Yerler Servo Motor Tanımı: 1 devir/dakikalık hız bölgelerinin altında bile kararlı çalışabilen, hız ve moment kontrolü yapan yardımcı motorlardır. Örneğin hassas takım tezgâhlarında ilerleme hareketleri için

Detaylı

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI Yard. Doç. Dr. Özdemir ÇETİN Sunu Başlıklar kları 1. Amaç 2. Temel Bilgiler 1. SoC (System-On-Chip) nedir? 2. SoC donanım araçları ASIC (Application

Detaylı

ELEKTRİKSEL EYLEYİCİLER

ELEKTRİKSEL EYLEYİCİLER ELEKTRİKSEL EYLEYİCİLER Eyleyiciler (Aktuatörler) Bir cismi hareket ettiren veya kontrol eden mekanik cihazlara denir. Elektrik motorları ve elektrikli sürücüler Hidrolik sürücüler Pinomatik sürücüler

Detaylı

SÜLEYMAN DEMİREL ÜNİVERSİTESİ TEKNİK EĞİTİM FAKÜLTESİ MEKATRONİK EĞİTİMİ BÖLÜMÜ BİLGİSAYAR DESTEKLİ İMALAT SERVO VE STEP MOTORLAR

SÜLEYMAN DEMİREL ÜNİVERSİTESİ TEKNİK EĞİTİM FAKÜLTESİ MEKATRONİK EĞİTİMİ BÖLÜMÜ BİLGİSAYAR DESTEKLİ İMALAT SERVO VE STEP MOTORLAR BİLGİSAYAR DESTEKLİ İMALAT SERVO VE STEP MOTORLAR Step (Adım) Motorlar Elektrik enerjisini açısal dönme hareketine çeviren motorlardır. Elektrik motorlarının uygulama alanlarında sürekli hareketin (fırçalı

Detaylı

Gömülü Sistemler. (Embedded Systems)

Gömülü Sistemler. (Embedded Systems) Gömülü Sistemler (Embedded Systems) Tanım Gömülü Sistem (Embedded System): Programlanabilir bilgisayar içeren fakat kendisi genel amaçlı bilgisayar olmayan her türlü cihazdır. Gömülü Sistem (Embedded System):

Detaylı

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber FPGA ile Kablosuz Görüntü Aktarımı Yusuf Onur Koçberber Seminer 2009 Yaz Plan FPGA Genel bilgiler FPGA nın İç Yapısı, Nasıl Programlanabiliyor?, Nasıl Çalışıyor? Neden bu kadar popüler oldu? MPW Her şeyin

Detaylı

BEDEN EĞİTİMİ I: Haftalık ders 1 saattir (T-0 ) (U-l) (K-0).

BEDEN EĞİTİMİ I: Haftalık ders 1 saattir (T-0 ) (U-l) (K-0). I.SINIF-1.YARIYIL TÜRK DİLİ I : Haftalık ders 2 saattir (T-2 ) (U-0) (K-2). Ders İçeriği; % 10 Dil, Diller ve Türk Dili, % 15 Dil Bilgisi, Sözcük ve Cümle % 25 Kelime Türleri % 25 Anlatım Öğeleri ve Anlatım

Detaylı

Optik Sürücüler CD/CD-ROM DVD HD-DVD/BLU-RAY DİSK Disket Monitör LCD LED Projeksiyon Klavye Mouse Mikrofon Tarayıcı

Optik Sürücüler CD/CD-ROM DVD HD-DVD/BLU-RAY DİSK Disket Monitör LCD LED Projeksiyon Klavye Mouse Mikrofon Tarayıcı 1 Donanım Bileşenleri ve Çalışma Prensipleri Anakart (Mainboard) İşlemci (Cpu) Ekran Kartı Bellekler Ram Rom Ses Kartı Ağ Kartı TV Kartı Sabit Diskler HDD HHD SSD Optik Sürücüler CD/CD-ROM DVD HD-DVD/BLU-RAY

Detaylı

Digital Design HDL. Dr. Cahit Karakuş, February-2018

Digital Design HDL. Dr. Cahit Karakuş, February-2018 Digital Design HDL Dr. Cahit Karakuş, February-2018 NOT, AND, and OR Gates NAND and NOR Gates DeMorgan s Theorem Exclusive-OR (XOR) Gate Multiple-input Gates Basic Logic Gates and Basic Digital Design

Detaylı

FPGA ile Gömülü Sistem Tasarımına Giriş

FPGA ile Gömülü Sistem Tasarımına Giriş FPGA ile Gömülü Sistem Tasarımına Giriş Bilg. Yük. Müh. Selçuk BAŞAK SelSistem Bilgi ve İletişim Teknolojileri www.selsistem.com.tr Giriş Gömülü Sistemler Programlanabilir Lojik - SPLD FPGA & CPLD Donanım

Detaylı

HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları

HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları Ders Adı Ders Kodu Dönemi Ders Saati Uygulama Saati Laboratuar Saati Kredi AKTS HDL ile Gelişmiş Sayısal Tasarım EE 425 Her İkisi 2 2 0 3 5 Ön Koşul

Detaylı

FPGA Mimarisi. Bilgisayar Mimarisinde Yeni Yaklaşımlar. Mehmet AKTAŞ

FPGA Mimarisi. Bilgisayar Mimarisinde Yeni Yaklaşımlar. Mehmet AKTAŞ FPGA Mimarisi Bilgisayar Mimarisinde Yeni Yaklaşımlar Mehmet AKTAŞ 1. Giriş Sigorta Bağlantılı Teknolojiler Karşıt Sigorta Teknolojisi ROM PROM EPROM EEPROM SRAM 2. Programlanabilir Teknolojiler Programlanabilir

Detaylı

İçindekiler FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ SİSTEMİ ŞEMASI:... 5 FPGA GELİŞTİRME KARTINA PROGRAM YÜKLEME:... 6

İçindekiler FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ SİSTEMİ ŞEMASI:... 5 FPGA GELİŞTİRME KARTINA PROGRAM YÜKLEME:... 6 Hazırlayan: Erkan ÇİL 2016 2 FPGA Geliştirme Kartı Kullanıcı Kılavuzu İçindekiler FPGA Geliştirme Kartı Bilgileri FPGA Geliştirme Kartının Kullanımı FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ

Detaylı

BÖLÜM 2 SAYI SİSTEMLERİ

BÖLÜM 2 SAYI SİSTEMLERİ İÇİNDEKİLER BÖLÜM 1 GİRİŞ 1.1. Lojik devre içeriği... (1) 1.1.1. Kodlama, Kod tabloları... (2) 1.1.2. Kombinezonsal Devre / Ardışıl Devre... (4) 1.1.3. Kanonik Model / Algiritmik Model... (4) 1.1.4. Tasarım

Detaylı

Bilgisayar Mimarisi Nedir?

Bilgisayar Mimarisi Nedir? BİLGİSAYAR MİMARİSİ Bilgisayar Mimarisi Nedir? Bilgisayar mimarisi, diğer mimariler gibi, bir yapı kullanıcısının ihtiyaçlarını belirleme ve bu ihtiyaçları ekonomik ve teknolojik kısıtlamalar dahilinde

Detaylı

5.63. YÜK KONTROLLÜ ASANSÖR ROBOT TASARIMI

5.63. YÜK KONTROLLÜ ASANSÖR ROBOT TASARIMI 5.63. YÜK KONTROLLÜ ASANSÖR ROBOT TASARIMI Prof. Dr. Asaf VAROL Fırat Üniversitesi T.E.F. Elk. ve Bilg. Eğt Böl. asaf_varol@yahoo.com Arş. Gör. Ferhat BAĞÇACI Fırat Üniversitesi T.E.F. Elk. ve Bilg. Eğt

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile PWM Sinyal Ü retim Üygulaması FPGA İLE UYGULAMA ÖRNEKLERİ 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda deneme kartımız üzerinde bulunan 1 adet LED

Detaylı

VHDL. Ece Olcay Güneş & S. Berna Örs

VHDL. Ece Olcay Güneş & S. Berna Örs VHDL Ece Olcay Güneş & S. Berna Örs Giriş VHDL VHSIC Hardware Description Language in kısaltmasıdır. VHSIC Very High Speed Integrated Circuit in kısaltmasıdır. VHDL dışında da pekçok donanım tasarlama

Detaylı

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits)

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits) SE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates nd Logic Circuits) Sakarya Üniversitesi Lojik Kapılar - maçlar Lojik kapıları ve lojik devreleri tanıtmak Temel işlemler olarak VE,

Detaylı

DERS BİLGİ FORMU ASENKRON VE SENKRON MAKİNALAR (0860120192-0860170102) ELEKTRİK VE ENERJİ. Okul Eğitimi Süresi

DERS BİLGİ FORMU ASENKRON VE SENKRON MAKİNALAR (0860120192-0860170102) ELEKTRİK VE ENERJİ. Okul Eğitimi Süresi ) ASENKRON VE SENKRON MAKİNALAR (0860120192-0860170102) (Proje, İş Yeri ) Kredisi Bu derste, her türlü asenkron ve senkron elektrik makinalarının uçlarının bulunması, devreye bağlanması ve çalıştırılması

Detaylı

IENG 227 Modern Üretim Yaklaşımları

IENG 227 Modern Üretim Yaklaşımları IENG 227 Modern Üretim Yaklaşımları Pamukkale Üniversitesi Endüstri Mühendisliği Bölümü IENG 227 Modern Üretim Yaklaşımları Dr. Hacer Güner Gören Esnek Üretim Sistemleri Esnek Üretim Sistemleri Bir esnek

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ Yrd. Doç. Dr. Mustafa H.B. UÇAR 1 2. HAFTA Yrd. Doç. Dr. Mustafa Hikmet Bilgehan UÇAR Entegre Yapıları Lojik Kapılar Lojik

Detaylı

T.C. EGE ÜNİVERSİTESİ ALİAĞA MESLEK YÜKSEKOKULU

T.C. EGE ÜNİVERSİTESİ ALİAĞA MESLEK YÜKSEKOKULU ELEKTRİK PROGRAMI DERS İÇERİKLERİ 2013 / 2014 EĞİTİM ÖĞRETİM DÖNEMİ 1. SINIF 1. YARIYIL 107 Matematik-I 3 0 3 3 Sayılar,olasılık ile ilgili temel esasları uygulamak, cebir çözümlerini yapmak, geometri

Detaylı

TÜBİTAK 2209-A ÜNİVERSİTE ÖĞRENCİLERİ YURT İÇİ ARAŞTIRMA PROJELERİ DESTEK PROGRAMI ENDÜSTRİYEL ROBOT KOL KARABÜK ÜNİVERSİTESİ MEKATRONİK MÜHENDİSLİĞİ

TÜBİTAK 2209-A ÜNİVERSİTE ÖĞRENCİLERİ YURT İÇİ ARAŞTIRMA PROJELERİ DESTEK PROGRAMI ENDÜSTRİYEL ROBOT KOL KARABÜK ÜNİVERSİTESİ MEKATRONİK MÜHENDİSLİĞİ TÜBİTAK 2209-A ÜNİVERSİTE ÖĞRENCİLERİ YURT İÇİ ARAŞTIRMA PROJELERİ DESTEK PROGRAMI ENDÜSTRİYEL ROBOT KOL KARABÜK ÜNİVERSİTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ Projenin Tematik Alanı Endüstri 4.0 Tematik

Detaylı

3 Fazlı Motorların Güçlerinin PLC ile Kontrolü. Doç. Dr. Ramazan BAYINDIR

3 Fazlı Motorların Güçlerinin PLC ile Kontrolü. Doç. Dr. Ramazan BAYINDIR 3 Fazlı Motorların Güçlerinin PLC ile Kontrolü Doç. Dr. Ramazan BAYINDIR Endüstride çok yaygın olarak kullanılan asenkron motorların sürekli izlenmesi ve arızalarının en aza indirilmesi büyük önem kazanmıştır.

Detaylı

Programlanabilir Sayısal Sistemler

Programlanabilir Sayısal Sistemler T.C. MALTEPE ÜNİVERSİTESİ MÜHENDİSLİK VE DOĞA BİLİMLERİ FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ LİSANS PROGRAMI 2011-12 Bahar Yarıyılı Programlanabilir Sayısal Sistemler BİL322 AKTS Kredisi 5 3. yıl 6. yarıyıl

Detaylı

BİLİŞİM TEKNOLOJİLERİNİN TEMELLERİ

BİLİŞİM TEKNOLOJİLERİNİN TEMELLERİ BİLİŞİM TEKNOLOJİLERİNİN TEMELLERİ 2.HAFTA Yonga Seti (Chipset) Anakart üzerinde yer alan bir dizi işlem denetçileridir. Bu denetçiler anakartın üzerindeki bilgi akış trafiğini denetler. Bilgisayarın kalitesi,

Detaylı

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş 29.11.2016 İÇERİK Arduino Nedir? Arduino IDE Yazılımı Arduino Donanım Yapısı Elektronik Bilgisi

Detaylı

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ SAYISAL DEVRE UYGULAMALARI Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ İÇİNDEKİLER ŞEKİLLER TABLOSU... vi MALZEME LİSTESİ... viii ENTEGRELER... ix 1. Direnç ve Diyotlarla Yapılan

Detaylı

Bilgisayar Donanımı Dersi BİLGİSAYARIN MİMARI YAPISI VE ÇALIŞMA MANTIĞI

Bilgisayar Donanımı Dersi BİLGİSAYARIN MİMARI YAPISI VE ÇALIŞMA MANTIĞI Bilgisayar Donanımı Dersi BİLGİSAYARIN MİMARI YAPISI VE ÇALIŞMA MANTIĞI Bilgisayarın Mimarı Yapısı ve Çalışma Mantığı Bilgisayarı yapısal olarak; bilgilerin girilmesi, girilen bilgilerin belirtilen durumlara

Detaylı

Hacettepe Robot Topluluğu

Hacettepe Robot Topluluğu Hacettepe Robot Topluluğu PIC Assembly Dersleri 1. Ders: PIC Programlamaya Giriş HUNRobotX - PIC Assembly Dersleri 1. Ders: PIC Programlamaya Giriş Yazan: Kutluhan Akman, Düzenleyen: Canol Gökel - 4 Haziran

Detaylı

Derste Neler Anlatılacak? Temel Mekatronik Birimler,temel birim dönüşümü Güncel konular(hes,termik Santral,Rüzgar Enerjisi,Güneş

Derste Neler Anlatılacak? Temel Mekatronik Birimler,temel birim dönüşümü Güncel konular(hes,termik Santral,Rüzgar Enerjisi,Güneş Derste Neler Anlatılacak? Temel Mekatronik Birimler,temel birim dönüşümü Güncel konular(hes,termik Santral,Rüzgar Enerjisi,Güneş Enerjisi,Doğalgaz,Biyogaz vs.) Mekatroniğin uygulama alanları Temel Mekanik

Detaylı

Görüntü İşleme Algoritmalarının Fpga Donanımı Üzerinde Gerçeklenmesi. Implementatıon Image Processıng Algorıthms on FPGA Hardware

Görüntü İşleme Algoritmalarının Fpga Donanımı Üzerinde Gerçeklenmesi. Implementatıon Image Processıng Algorıthms on FPGA Hardware Görüntü İşleme Algoritmalarının Fpga Donanımı Üzerinde Gerçeklenmesi Ali Recai Çelik1, Ahmet Alkan2 1 Dicle Üniversitesi, Elektrik-Elektronik Mühendisliği Bölümü, Diyarbakır 2 KSÜ, Elektrik-Elektronik

Detaylı

MEKATRONİK MÜHENDİSLİĞİ YÜKSEK LİSANS PROGRAMI

MEKATRONİK MÜHENDİSLİĞİ YÜKSEK LİSANS PROGRAMI MEKATRONİK MÜHENDİSLİĞİ YÜKSEK LİSANS PROGRAMI Mekatronik Mühendisliği Yüksek Lisans programının eğitim dili İngilizce olup, tezli ve tezsiz iki programdan oluşmaktadır. Tezli programda öğrencilerin; -

Detaylı

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9 İÇİNDEKİLER BÖLÜM 1 TEMEL LOJİK KAPI DENEYLERİ 1-1 Lojik ve Anahtara Giriş 1 1-2 Lojik Kapı Devreleri... 9 a. Diyot Lojiği (DL) devresi b. Direnç-Transistor Lojiği (RTL) devresi c. Diyot-Transistor Lojiği

Detaylı

Analog Sayısal Dönüşüm

Analog Sayısal Dönüşüm Analog Sayısal Dönüşüm Gerilim sinyali formundaki analog bir veriyi, iki tabanındaki sayısal bir veriye dönüştürmek için, az önce anlatılan merdiven devresiyle, bir sayıcı (counter) ve bir karşılaştırıcı

Detaylı

PROGRAMLAMAYA GİRİŞ. Öğr. Gör. Ayhan KOÇ. Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay.

PROGRAMLAMAYA GİRİŞ. Öğr. Gör. Ayhan KOÇ. Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay. PROGRAMLAMAYA GİRİŞ Öğr. Gör. Ayhan KOÇ Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay., 2007 Algoritma ve Programlamaya Giriş, Ebubekir YAŞAR, Murathan Yay., 2011

Detaylı

ELASTİSİTE TEORİSİ I. Yrd. Doç Dr. Eray Arslan

ELASTİSİTE TEORİSİ I. Yrd. Doç Dr. Eray Arslan ELASTİSİTE TEORİSİ I Yrd. Doç Dr. Eray Arslan Mühendislik Tasarımı Genel Senaryo Analitik çözüm Fiziksel Problem Matematiksel model Diferansiyel Denklem Problem ile ilgili sorular:... Deformasyon ne kadar

Detaylı

YILDIZ TEKNIK ÜNİVERSİTESİ ELEKTRİK - ELEKTRONİK FAKULTESİ ELEKLTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ

YILDIZ TEKNIK ÜNİVERSİTESİ ELEKTRİK - ELEKTRONİK FAKULTESİ ELEKLTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ YILDIZ TEKNIK ÜNİVERSİTESİ ELEKTRİK - ELEKTRONİK FAKULTESİ ELEKLTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ GEZGİN ROBOT UYGULAMASI ORHAN BEDİR ORHAN MERT Proje Danışmanı : Y.Doç.Dr. Tuncay UZUN İstanbul,

Detaylı

STP1 +2 FONKSİYON. Step Motor Eğitim Seti. Tamamen mekatronik özel tasarım. Pratik Becerileri kazanmak ve Proje Odaklı Uzmanlık İçin

STP1 +2 FONKSİYON. Step Motor Eğitim Seti. Tamamen mekatronik özel tasarım. Pratik Becerileri kazanmak ve Proje Odaklı Uzmanlık İçin STP1 Step Motor Eğitim Seti Tamamen mekatronik özel tasarım %100 kendi imalatımız Pratik Becerileri kazanmak ve Proje Odaklı Uzmanlık İçin +2 FONKSİYON Konum göstrge cetveli 24V PLC kontrollü lazer pointer

Detaylı

NX Motion Simulation:

NX Motion Simulation: NX Motion Simulation: Mekanizma Hareket Analizi UNIGRAPHICS NX yazılımının modüllerinden biri olan NX Motion Simulation, NX Dijital Ürün Tasarımı ailesinin mühendislik bileşenlerinden birisidir. Motion

Detaylı

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK Mikroişlemci HAFTA 1 HAFIZA BİRİMLERİ Program Kodları ve verinin saklandığı bölüm Kalıcı Hafıza ROM PROM EPROM EEPROM FLASH UÇUCU SRAM DRAM DRRAM... ALU Saklayıcılar Kod Çözücüler... GİRİŞ/ÇIKIŞ G/Ç I/O

Detaylı

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Altera fpga kartları için derleyici programı Quartus tur. Aşağıdaki linkten quartus programı indirilebilir; https://www.altera.com/download/dnl-index.jsp

Detaylı

DENEY NO : 2 DENEY ADI : Sayısal Sinyallerin Analog Sinyallere Dönüştürülmesi

DENEY NO : 2 DENEY ADI : Sayısal Sinyallerin Analog Sinyallere Dönüştürülmesi DENEY NO : 2 DENEY ADI : Sayısal Sinyallerin Analog Sinyallere Dönüştürülmesi DENEYİN AMACI :Bir sayısal-analog dönüştürücü işlemini anlama. DAC0800'ün çalışmasını anlama. DAC0800'ı kullanarak unipolar

Detaylı

İçerik. TBT 1003 Temel Bilgi Teknolojileri

İçerik. TBT 1003 Temel Bilgi Teknolojileri TBT 1003 Temel Bilgi Teknolojileri İçerik H0. Giriş ve Ders İçeriği Tanıtım H1. Donanım ve bilgisayarlar. H2. Donanım uygulamaları ve işletim sistemleri. H3. Kelime İşlemciler H4. Kelime İşlemci Uygulama

Detaylı

Robotik AKTUATÖRLER Motorlar: Çalışma prensibi

Robotik AKTUATÖRLER Motorlar: Çalışma prensibi Robotik AKTUATÖRLER Motorlar: Çalışma prensibi 1 Motorlar: Çalışma prensibi Motorlar: Çalışma prensibi 2 Motorlar: Çalışma prensibi AC sinyal kutupları ters çevirir + - AC Motor AC motorun hızı üç değişkene

Detaylı

SABİT MIKNATISLI MOTORLAR ve SÜRÜCÜLERİ

SABİT MIKNATISLI MOTORLAR ve SÜRÜCÜLERİ SABİT MIKNATISLI MOTORLAR ve SÜRÜCÜLERİ 1-Step Motorlar - Sabit mıknatıslı Step Motorlar 2- Sorvo motorlar - Sabit mıknatıslı Servo motorlar 1- STEP (ADIM) MOTOR NEDİR Açısal konumu adımlar halinde değiştiren,

Detaylı

Omron Sysmac ailesi ile gerçek makina otomasyonu. Nurcan Konak, Mayıs 2012

Omron Sysmac ailesi ile gerçek makina otomasyonu. Nurcan Konak, Mayıs 2012 Omron Sysmac ailesi ile gerçek makina otomasyonu Nurcan Konak, Mayıs 2012 İçerik Omron Hakkında Sysmac Platfotmuna Genel Bakış Gerçek Bir Uygulama Mercek Altında Omron Şirketleri Endüstriyel Otomasyon

Detaylı

BESMAK MARKA BCO 113 SERİSİ TAM OTOMATİK BİLGİSAYAR KONTROLLÜ HİDROLİK BETON TEST PRESİ VE EĞİLME TEST SİSTEMİ

BESMAK MARKA BCO 113 SERİSİ TAM OTOMATİK BİLGİSAYAR KONTROLLÜ HİDROLİK BETON TEST PRESİ VE EĞİLME TEST SİSTEMİ BESMAK MARKA BCO 113 SERİSİ TAM OTOMATİK BİLGİSAYAR KONTROLLÜ HİDROLİK BETON TEST PRESİ VE EĞİLME TEST SİSTEMİ Resim 1- Beton Basınç Dayanımı Test Presi Resim 2 - Eğilme Test Sistemi BETON TEST PRESİ GENEL

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar)

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Seri Haberleşme (RS232) Uygulaması

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Seri Haberleşme (RS232) Uygulaması FPGA ile Seri Haberleşme (RS232) Uygulaması 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda, geliştirme kartımız üzerinde bulunan giriş / çıkış pinlerini FT232RL

Detaylı

4-Deney seti modüler yapıya sahiptir ve kabin içerisine tek bir board halinde monte edilmiştir.

4-Deney seti modüler yapıya sahiptir ve kabin içerisine tek bir board halinde monte edilmiştir. MDS 8051 8051 AİLESİ DENEY SETİ 8051 Ailesi Deney Seti ile piyasada yaygın olarak bulunan 8051 ailesi mikro denetleyicileri çok kolay ve hızlı bir şekilde PC nizin USB veya Seri portundan gönderdiğiniz

Detaylı

William Stallings Computer Organization and Architecture 9 th Edition

William Stallings Computer Organization and Architecture 9 th Edition William Stallings Computer Organization and Architecture 9 th Edition Bölüm 5 İç Hafıza Bir Hafıza Hücresinin Çalışması Bütün hafıza hücrelerinin ortak özellikleri vardır: 0 ve 1 durumundan birini gösterirler

Detaylı

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür.

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür. İŞLEMCİLER (CPU) Mikroişlemci Nedir? Mikroişlemci, hafıza ve giriş/çıkış birimlerini bulunduran yapının geneline mikrobilgisayar; CPU' yu bulunduran entegre devre çipine ise mikroişlemci denir. İşlemciler

Detaylı

Bilişim Teknolojileri Temelleri 2011. Dijital Dünyada Yaşamak

Bilişim Teknolojileri Temelleri 2011. Dijital Dünyada Yaşamak Bilişim Teknolojileri Temelleri 2011 Dijital Dünyada Yaşamak Bilgisayar nedir? Bilgisayar, kullanıcı tarafından girilen bilgileri(veri) işleyen, depolayan istendiğinde girilen bilgileri ve sonuçlarını

Detaylı

FBs-serisi. Programlanabilir Kontrol Cihazı. Kullanım Kitabı - II [ İleri Düzey Uygulamalar. Önsöz, İçerik. FBs-PLC Interrupt Fonksiyonu 9

FBs-serisi. Programlanabilir Kontrol Cihazı. Kullanım Kitabı - II [ İleri Düzey Uygulamalar. Önsöz, İçerik. FBs-PLC Interrupt Fonksiyonu 9 Önsöz, İçerik FBs-PLC Interrupt Fonksiyonu 9 FBs-PLC Yüksek Hızlı Sayıcı ve Zamanlayıcı 10 FBs-PLC nin Haberleşmesi 11 FBs-serisi Programlanabilir Kontrol Cihazı FBs-PLC Haberleşme Bağlantı Uygulamaları

Detaylı

Doç. Dr. Bilge DORAN

Doç. Dr. Bilge DORAN Doç. Dr. Bilge DORAN Bilgisayar teknolojisinin ilerlemesi doğal olarak Yapı Mühendisliğinin bir bölümü olarak tanımlanabilecek sistem analizi (hesabı) kısmına yansımıştır. Mühendislik biliminde bilindiği

Detaylı

MEKATRONİĞİN TEMELLERİ

MEKATRONİĞİN TEMELLERİ MEKATRONİĞİN TEMELLERİ Teknik Bilimler Meslek Yüksekokulu Mekatronik Programı Yrd. Doç. Dr. İlker ÜNAL Vize %30 Dersin Koşulları Final %60 Ödev %10 Dersin Konuları Mekatronik Sistemler Birimler ve Ölçme

Detaylı

BİLGİSAYAR DONANIMI KONU: ANAKART RAM-ROM ADI: KÜBRA SOYADI: ŞAHİN NO: SINIFI:1/B ADI: SELMA SOYADI: ÇELİK NO: SINIFI:1/B

BİLGİSAYAR DONANIMI KONU: ANAKART RAM-ROM ADI: KÜBRA SOYADI: ŞAHİN NO: SINIFI:1/B ADI: SELMA SOYADI: ÇELİK NO: SINIFI:1/B KONU: ANAKART RAM-ROM BİLGİSAYAR DONANIMI ADI: KÜBRA SOYADI: ŞAHİN NO: 155511106 SINIFI:1/B ADI: SELMA SOYADI: ÇELİK NO: 165511070 SINIFI:1/B ÖĞRETMEN: YILMAZ EROĞLU İÇİNDEKİLER ANAKARTIN TARİHÇESİ...3

Detaylı

ELEKTRĐK MOTORLARI SÜRÜCÜLERĐ EELP212 DERS 05

ELEKTRĐK MOTORLARI SÜRÜCÜLERĐ EELP212 DERS 05 EELP212 DERS 05 Özer ŞENYURT Mayıs 10 1 BĐR FAZLI MOTORLAR Bir fazlı motorların çeşitleri Yardımcı sargılı motorlar Ek kutuplu motorlar Relüktans motorlar Repülsiyon motorlar Üniversal motorlar Özer ŞENYURT

Detaylı

MAK 1005 Bilgisayar Programlamaya Giriş. BİLGİSAYARA GİRİŞ ve ALGORİTMA KAVRAMI

MAK 1005 Bilgisayar Programlamaya Giriş. BİLGİSAYARA GİRİŞ ve ALGORİTMA KAVRAMI MAK 1005 Bilgisayar Programlamaya Giriş Uludağ Üniversitesi, Mühendislik Fakültesi Makine Mühendisliği Bölümü BİLGİSAYARA GİRİŞ ve ALGORİTMA KAVRAMI Prof. Dr. Necmettin Kaya 1 KONULAR 1. Bilgisayara giriş,

Detaylı

Endüstriyel Sensörler ve Uygulama Alanları Kalite kontrol amaçlı ölçme sistemleri, üretim ve montaj hatlarında imalat sürecinin en önemli aşamalarındandır. Günümüz teknolojisi mükemmelliği ve üretimdeki

Detaylı

ERCİYES ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ SİVİL HAVACILIK ANABİLİM DALI YENİ DERS ÖNERİSİ/ DERS GÜNCELLEME

ERCİYES ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ SİVİL HAVACILIK ANABİLİM DALI YENİ DERS ÖNERİSİ/ DERS GÜNCELLEME / DERS GÜNCELLEME Dersin Kodu SHA 615 Dersin Adı İSTATİSTİKSEL SİNYAL İŞLEME Yarıyılı GÜZ Dersin İçeriği: Olasılık ve olasılıksal süreçlerin gözden geçirilmesi. Bayes kestirim kuramı. Büyük olabilirlik

Detaylı

Fatih Üniversitesi. İstanbul. Haziran 2010. Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır.

Fatih Üniversitesi. İstanbul. Haziran 2010. Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır. Fatih Üniversitesi SIMATIC S7-200 TEMEL KUMANDA UYGULAMALARI 1 İstanbul Haziran 2010 Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır. İÇİNDEKİLER 1. GİRİŞ...

Detaylı

YENİLENEBİLİR ENERJİ EĞİTİM SETİ

YENİLENEBİLİR ENERJİ EĞİTİM SETİ YENİLENEBİLİR ENERJİ EĞİTİM SETİ Yenilenebilir enerji sistemleri eğitim seti temel olarak rüzgar türbini ve güneş panelleri ile elektrik üretimini uygulamalı eğitime taşımak amacıyla tasarlanmış, kapalı

Detaylı

Bölüm 4. Sistem Bileşenleri. Bilgisayarı. Discovering. Keşfediyorum 2010. Computers 2010. Living in a Digital World Dijital Dünyada Yaşamak

Bölüm 4. Sistem Bileşenleri. Bilgisayarı. Discovering. Keşfediyorum 2010. Computers 2010. Living in a Digital World Dijital Dünyada Yaşamak Sistem Bileşenleri Bilgisayarı Discovering Keşfediyorum 2010 Computers 2010 Living in a Digital World Dijital Dünyada Yaşamak Sistem Sistem, bilgisayarda veri işlemek amacıyla kullanılan elektronik bileşenleri

Detaylı

ELEKTRİK MAKİNELERİ (MEP 112) Yazar: Yrd. Doç. Dr. Mustafa Turan S1

ELEKTRİK MAKİNELERİ (MEP 112) Yazar: Yrd. Doç. Dr. Mustafa Turan S1 ELEKTRİK MAKİNELERİ (MEP 112) Yazar: Yrd. Doç. Dr. Mustafa Turan S1 SAKARYA ÜNİVERSİTESİ Adapazarı Meslek Yüksekokulu Bu ders içeriğinin basım, yayım ve satış hakları Sakarya Üniversitesi ne aittir. "Uzaktan

Detaylı

Bilgisayarda Programlama. Temel Kavramlar

Bilgisayarda Programlama. Temel Kavramlar Bilgisayarda Programlama Temel Kavramlar KAVRAMLAR Programlama, yaşadığımız gerçek dünyadaki problemlere ilişkin çözümlerin bilgisayarın anlayabileceği bir biçime dönüştürülmesi / ifade edilmesidir. Bunu

Detaylı

BİLGİSAYAR DESTEKLİ TASARIM HAFTA 6 COSMOSWORKS İLE ANALİZ

BİLGİSAYAR DESTEKLİ TASARIM HAFTA 6 COSMOSWORKS İLE ANALİZ BİLGİSAYAR DESTEKLİ TASARIM HAFTA 6 COSMOSWORKS İLE ANALİZ Makine parçalarının ve/veya eş çalışan makine parçalarından oluşan mekanizma veya sistemlerin tasarımlarında önemli bir aşama olan ve tasarıma

Detaylı

BÖLÜM 1. ASENKRON MOTORLAR

BÖLÜM 1. ASENKRON MOTORLAR İÇİNDEKİLER ÖNSÖZ...iv GİRİŞ...v BÖLÜM 1. ASENKRON MOTORLAR 1. ASENKRON MOTORLAR... 1 1.1. Üç Fazlı Asenkron Motorlar... 1 1.1.1. Üç fazlı asenkron motorda üretilen tork... 2 1.1.2. Üç fazlı asenkron motorlara

Detaylı

Bölüm 4 Aritmetik Devreler

Bölüm 4 Aritmetik Devreler Bölüm 4 Aritmetik Devreler DENEY 4- Aritmetik Lojik Ünite Devresi DENEYİN AMACI. Aritmetik lojik birimin (ALU) işlevlerini ve uygulamalarını anlamak. 2. 748 ALU tümdevresi ile aritmetik ve lojik işlemler

Detaylı

BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ

BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ Dersin Adı Kodu Sınıf / Y.Y. Ders Saati (T+U+L) Kredi AKTS PROGRAMLAMA BG-213 2/1 2+0+2 2+1 5 Dersin Dili : TÜRKÇE Dersin Seviyesi : LİSANS

Detaylı

SORULAR (37-66) Aşağıdakilerden hangisi günümüz anakartlarının en çok kullanılan veriyoludur?

SORULAR (37-66) Aşağıdakilerden hangisi günümüz anakartlarının en çok kullanılan veriyoludur? SORULAR (37-66) SORU -37 Aşağıdakilerden hangisi günümüz anakartlarının en çok kullanılan veriyoludur? A) ISA B) AGP C) PCI D) PCI-e SORU -38 Aşağıdakilerden hangisi yavaş olması sebebiyle günümüz anakartlarında

Detaylı

VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı

VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı Kenan Baysal 1, Deniz Taşkın 2, Eser Sert 3, Nurşen Topçubaşı 4 1 Namık Kemal Üniversitesi, Hayrabolu Meslek Yüksekokulu, Bilgi Yönetimi

Detaylı

Doğru Akım (DC) Makinaları

Doğru Akım (DC) Makinaları Doğru Akım (DC) Makinaları Doğru akım makinaları motor veya jeneratör olarak kullanılabilir. Genellikle DC makinalar motor olarak kullanılır. En büyük avantajları hız ve tork ayarının kolay yapılabilmesidir.

Detaylı

IŞIK ĐZLEYEN ROBOT PROJESĐ FOLLOWĐNG ROBOT SĐNOP LIGHT PROJECT. Proje Yürütücüleri Bünyamin TANGAL, Sinop Ünv. Meslek Yüksekokulu Mekatronik Bölümü

IŞIK ĐZLEYEN ROBOT PROJESĐ FOLLOWĐNG ROBOT SĐNOP LIGHT PROJECT. Proje Yürütücüleri Bünyamin TANGAL, Sinop Ünv. Meslek Yüksekokulu Mekatronik Bölümü IŞIK ĐZLEYEN ROBOT PROJESĐ FOLLOWĐNG ROBOT SĐNOP LIGHT PROJECT Proje Yürütücüleri Bünyamin TANGAL, Sinop Ünv. Meslek Yüksekokulu Mekatronik Bölümü 1 ÖZET Bu projenin amacı, basit elektronik ve mekanik

Detaylı

İşletim Sistemleri (Operating Systems)

İşletim Sistemleri (Operating Systems) İşletim Sistemleri (Operating Systems) 1 İşletim Sistemleri (Operating Systems) Genel bilgiler Ders kitabı: Tanenbaum & Bo, Modern Operating Systems:4th ed., Prentice-Hall, Inc. 2013 Operating System Concepts,

Detaylı

OTOMATİK KONTROL SİSTEMLERİ. DİNAMİK SİSTEMLERİN MODELLENMESİ ve ANALİZİ

OTOMATİK KONTROL SİSTEMLERİ. DİNAMİK SİSTEMLERİN MODELLENMESİ ve ANALİZİ OTOMATİK KONTROL SİSTEMLERİ DİNAMİK SİSTEMLERİN MODELLENMESİ ve ANALİZİ 1) İdeal Sönümleme Elemanı : a) Öteleme Sönümleyici : Mekanik Elemanların Matematiksel Modeli Basit mekanik elemanlar, öteleme hareketinde;

Detaylı

IRT63M. Bilgi Dokümanı. 128x64 piksel grafik LCD, Klavye, UART ve Ethernet Haberleşme ile Temassız Kart (ISO14443A/B) Okuyucu (IRT63M) www.dtsis.

IRT63M. Bilgi Dokümanı. 128x64 piksel grafik LCD, Klavye, UART ve Ethernet Haberleşme ile Temassız Kart (ISO14443A/B) Okuyucu (IRT63M) www.dtsis. 128x64 piksel grafik LCD, Klavye, UART ve Ethernet Haberleşme ile Temassız Kart (ISO14443A/B) Okuyucu (IRT63M) Bilgi Dokümanı www.dtsis.com 1 İçindekiler 1. Genel Tanım... 3 2. Blok Diyagram... 4 3. Teknik

Detaylı

AKTÜATÖRLER Elektromekanik Aktüatörler

AKTÜATÖRLER Elektromekanik Aktüatörler AKTÜATÖRLER Bir sitemi kontrol için, elektriksel, termal yada hidrolik, pnömatik gibi mekanik büyüklükleri harekete dönüştüren elemanlardır. Elektromekanik aktüatörler, Hidromekanik aktüatörler ve pnömatik

Detaylı

Robot Bilimi. Robotların Sınıflandırılması

Robot Bilimi. Robotların Sınıflandırılması Robot Bilimi Robotların Sınıflandırılması Öğr. Gör. M. Ozan AKI r1.0 Robot Teknolojisinin Temelleri Robot bilimi, birçok mühendislik dalını kapsar. Teknoloji Düzeyi Hareket Güç Açık Çevrim Denetim Dış

Detaylı

YENİLENEBİLİR ENERJİ KAYNAKLARI RÜZGAR ENERJİSİ SİSTEMLERİ Eğitim Merkezi Projesi

YENİLENEBİLİR ENERJİ KAYNAKLARI RÜZGAR ENERJİSİ SİSTEMLERİ Eğitim Merkezi Projesi YENİLENEBİLİR ENERJİ KAYNAKLARI RÜZGAR ENERJİSİ SİSTEMLERİ Eğitim Merkezi Projesi Konu Başlıkları Enerjide değişim Enerji sistemleri mühendisliği Rüzgar enerjisi Rüzgar enerjisi eğitim müfredatı Eğitim

Detaylı

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar) Bus

Detaylı

ERZİNCAN ÜNİVERSİTESİ BİLGİ İŞLEM DAİRESİ BAŞKANLIĞI. Autodesk Çözümleri Eğitimin Hizmetinde. Öğrenci ve Öğretmenler İçin: Autodesk Eğitim Topluluğu

ERZİNCAN ÜNİVERSİTESİ BİLGİ İŞLEM DAİRESİ BAŞKANLIĞI. Autodesk Çözümleri Eğitimin Hizmetinde. Öğrenci ve Öğretmenler İçin: Autodesk Eğitim Topluluğu ERZİNCAN ÜNİVERSİTESİ BİLGİ İŞLEM DAİRESİ BAŞKANLIĞI Autodesk Çözümleri Eğitimin Hizmetinde Bilgi İşlem Dairesi Başkanlığımız ile Autodesk firması arasında yapmış olduğumuz ortak çalışmalar neticesinde

Detaylı

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi Bil101 Bilgisayar Yazılımı I Bilgisayar Yüksek Mühendisi Kullanıcıdan aldığı veri ya da bilgilerle kullanıcının isteği doğrultusunda işlem ve karşılaştırmalar yapabilen, veri ya da bilgileri sabit disk,

Detaylı

Operatör panelleri FED

Operatör panelleri FED Operatör panelleri FED 120x32 to 1024x768 piksel çözünürlük Text bazlı monokrom ve renkli dokunmatik ekranlı tipler Entegre web tarayıcılı tipler Kullanıșlı WYSIWYG editörleriyle kolay tasarım Sistemden

Detaylı

Öğr. Gör. Hakan YÜKSEL hakanyuksel@sdu.edu.tr SÜLEYMAN DEMİREL ÜNİVERSİTESİ. Akademik Bilişim 2013 1

Öğr. Gör. Hakan YÜKSEL hakanyuksel@sdu.edu.tr SÜLEYMAN DEMİREL ÜNİVERSİTESİ. Akademik Bilişim 2013 1 Öğr. Gör. Hakan YÜKSEL hakanyuksel@sdu.edu.tr SÜLEYMAN DEMİREL ÜNİVERSİTESİ Akademik Bilişim 2013 1 İçerik Hareket Temelli İşlemler Temassız hareket algılayıcısı: Kinect Kinect Uygulamaları Kinect in getirdikleri

Detaylı

VERĠ HABERLEġMESĠ OSI REFERANS MODELĠ

VERĠ HABERLEġMESĠ OSI REFERANS MODELĠ VERĠ HABERLEġMESĠ OSI REFERANS MODELĠ Bölüm-2 Resul DAġ rdas@firat.edu.tr VERİ HABERLEŞMESİ TEMELLERİ Veri İletişimi İletişimin Genel Modeli OSI Referans Modeli OSI Modeli ile TCP/IP Modelinin Karşılaştırılması

Detaylı

İçİndekİler. 1. Bölüm - Mİkro Denetleyİcİ Nedİr? 2. Bölüm - MİkroDenetleyİcİlerİ Anlamak

İçİndekİler. 1. Bölüm - Mİkro Denetleyİcİ Nedİr? 2. Bölüm - MİkroDenetleyİcİlerİ Anlamak XIII İçİndekİler 1. Bölüm - Mİkro Denetleyİcİ Nedİr? Mikrodenetleyici Tanımı Mikrodenetleyicilerin Tarihçesi Mikroişlemci- Mikrodenetleyici 1. İki Kavram Arasındaki Farklar 2. Tasarım Felsefesi ve Mimari

Detaylı

mikroc Dili ile Mikrodenetleyici Programlama Ders Notları / Dr. Serkan DİŞLİTAŞ

mikroc Dili ile Mikrodenetleyici Programlama Ders Notları / Dr. Serkan DİŞLİTAŞ 12. Motor Kontrolü Motorlar, elektrik enerjisini hareket enerjisine çeviren elektromekanik sistemlerdir. Motorlar temel olarak 2 kısımdan oluşur: Stator: Hareketsiz dış gövde kısmı Rotor: Stator içerisinde

Detaylı

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş C ile 8051 Mikrodenetleyici Uygulamaları BÖLÜM 2 8051 Mikrodenetleyicisine Giriş Amaçlar 8051 mikrodenetleyicisinin tarihi gelişimini açıklamak 8051 mikrodenetleyicisinin mimari yapısını kavramak 8051

Detaylı

ASENKRON MOTOR ASENKRON (İNDÜKSİYON) MOTOR. Genel

ASENKRON MOTOR ASENKRON (İNDÜKSİYON) MOTOR. Genel Genel ASENKRON (İNDÜKSİYON) MOTOR Asenkron makinalar motor ve jeneratör olarak kullanılabilmekle birlikte, jeneratör olarak kullanım rüzgar santralleri haricinde yaygın değildir. Genellikle sanayide kullanılan

Detaylı

FPGA ile Gömülü Sistem Tasarımı (EE 525) Ders Detayları

FPGA ile Gömülü Sistem Tasarımı (EE 525) Ders Detayları FPGA ile Gömülü Sistem Tasarımı (EE 525) Ders Detayları Ders Adı Ders Kodu Dönemi Ders Saati Uygulama Saati Laboratuar Saati Kredi AKTS FPGA ile Gömülü Sistem Tasarımı EE 525 Her İkisi 3 0 0 0 7.5 Ön Koşul

Detaylı

Dersin Konusu ve Amaçları: Ders P lanı: Bölüm 1: Bilgi Teknolojilerinde Temel Kavramlar

Dersin Konusu ve Amaçları: Ders P lanı: Bölüm 1: Bilgi Teknolojilerinde Temel Kavramlar Bilgi Teknolojileri ve Uygulamalarına Giriş Dersin Konusu ve Amaçları: Bu dersin amacı daha önce bilgisayar ve bilgi teknolojileri alanında herhangi bir bilgi ve/veya deneyime sahip olmayan öğrenciye bilgi

Detaylı

Bilgisayar ile Dijital Devrelerin Haberleşmesi. FT232R ve MAX232 Entegreleri. Çalışma Raporu

Bilgisayar ile Dijital Devrelerin Haberleşmesi. FT232R ve MAX232 Entegreleri. Çalışma Raporu Bilgisayar ile Dijital Devrelerin Haberleşmesi FT232R ve MAX232 Entegreleri Çalışma Raporu Hazırlayan: Fatih Erdem 26 Mayıs 2011 Bilgisayar ile Dijital Devrelerin Haberleşmesi Günümüz bilgisayarları USB,

Detaylı

ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOKULU ELEKTRİK VE ENERJİ BÖLÜMÜ ALTERNATİF ENERJİ KAYNAKLARI TEKNOLOJİSİ ELEKTRİK MAKİNALARI 12.

ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOKULU ELEKTRİK VE ENERJİ BÖLÜMÜ ALTERNATİF ENERJİ KAYNAKLARI TEKNOLOJİSİ ELEKTRİK MAKİNALARI 12. ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOKULU ELEKTRİK VE ENERJİ BÖLÜMÜ ALTERNATİF ENERJİ KAYNAKLARI TEKNOLOJİSİ ELEKTRİK MAKİNALARI 12. HAFTA 1 İçindekiler Fırçasız Doğru Akım Motorları 2 TANIMI VE ÖZELLİKLERİ

Detaylı

HSancak Nesne Tabanlı Programlama I Ders Notları

HSancak Nesne Tabanlı Programlama I Ders Notları DİZİLER Bellekte ard arda yer alan aynı türden nesneler kümesine dizi (array) denilir. Bir dizi içerisindeki bütün elemanlara aynı isimle ulaşılır. Yani dizideki bütün elemanların isimleri ortaktır. Elemanlar

Detaylı

Bilgisayar, elektronik bir cihazdır ve kendi belleğinde depolanan talimatları sırasıyla uygulayarak çalışır. İşler. Bilgi İşlem Çevrimi

Bilgisayar, elektronik bir cihazdır ve kendi belleğinde depolanan talimatları sırasıyla uygulayarak çalışır. İşler. Bilgi İşlem Çevrimi Bilgisayar nedir? Bilgisayar, elektronik bir cihazdır ve kendi belleğinde depolanan talimatları sırasıyla uygulayarak çalışır. Veriyi toplar (girdi) İşler Bilgi üretir (çıktı) Bilgi İşlem Çevrimi 1 Bir

Detaylı