VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN"

Transkript

1 VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI Yard. Doç. Dr. Özdemir ÇETİN

2 Sunu Başlıklar kları 1. Amaç 2. Temel Bilgiler 1. SoC (System-On-Chip) nedir? 2. SoC donanım araçları ASIC (Application Specific Integrated Circuit) CPLD (Complex Programmable Logic Device) FPGA (Field Programmable Gate Array) 3. SoC tasarım geliştirme I. SoC tasarım teknolojileri II. SoC tasarım metotları Schematic capture Hardware Description Language 2 /51

3 1.Amaç 1. Neden donanım tanımlama dillerine ihtiyaç vardır? 3 /51

4 Konfigürasyon özellikleri isteğe veya ihtiyaca göre kolaylıkla değiştirilebilen modüler bir tasarım gerçekleştirmek. 4 /51

5 3.Temel Bilgiler 1. SoC (System-On-Chip) nedir? 2. SoC donanım araçları ASIC (Application Specific Integrated Circuit) CPLD (Complex Programmable Logic Device) FPGA (Field Programmable Gate Array) 3. SoC tasarım geliştirme I. SoC tasarım teknolojileri II. SoC tasarım metotları Schematic capture Hardware Description Language 5 /51

6 3.1 SoC Nedir? CPLD Baskı devredeki iletim hatları Modern tasarım araçları kullanılarak kompleks sistemlerin tek bir çip içerisine sığdırılması Elektronik sistemlerin tasarımına modern çözümler sunan çok avantajlı ve kullanışlı bir teknoloji 6 /51

7 Neden SoC? Yüksek performans, düşük maliyet, esneklik Güçlü piyasa hakimiyeti 2004 te 1.3 milyon kullanıcı, 2000 lerde entegre pazarının %20 si 2004 te %40 lara ulaşması beklenmektedir. 7 /51

8 SoC ile İlgili Terimler Maliyet Time-To-Market (TTM) Kapasite Performans Güç Kalite IP (Intellectual Property). 8 /51

9 SoC Avantajları Düşük maliyet Piyasaya hızlı çıkış Her uygulama için değişebilen kapasite Sistemlerde yüksek hız ve performans Her uygulama için farklı güç gereksinimi IP (Intellectual Property) core 9 /51

10 SoC Dizayn Akışı Sistem içerisindeki birimler bir donanım tanımlama dili (VHDL,VERILOG veya SystemC) yardımıyla ifade edilir, Derleme ve davranışsal benzetim adımları gerçekleştirilir, Sentezleme ve Yerleştirme işleminden sonra zamansal benzetim aşaması icra edilir, Bu işlemlerin ardından tasarım tekrar programlanabilir yapılara aktarılır. 10 /51

11 3.2 SoC Donanım m Araçlar ları SoC donanım araçları I. ASIC (Application Specific Integrated Circuit) II. CPLD (Complex Programmable Logic Device) III. FPGA (Field Programmable Gate Array) 11 /51

12 ASIC Nedir? Bir sefer programlanabilen entegre elemanı Tasarım Doğrulama Ürün Yeniden yapılandırılabilme 12 /51

13 ASIC Programlama Doğrulama Doğrulama Ürün Tasarım Üretim ASIC entegreleri bilgisayar ortamında, VLSI yöntemi ile tasarlanır. 13 /51

14 Yapı Olarak ASIC Temel olarak 3 farklı ASIC yapısı vardır; Kapı Dizileri, CBICs (Cell-Based ICs), PLDs (Programmable Logic Devices) 14 /51

15 Kapı Dizileri Kanallı Kapı Dizileri Kanalsız Kapı Dizileri 15 /51

16 CBICs Analog Analog Hafıza Hafıza Derlenmiş Lojik Lojik İşlemci İşlemci Hücre-Tabanlı IC 16 /51

17 PLDs Yapım şekillerine ve yapılarına göre gruplara ayrılırlar; PROM (Programmable Read Only Memory), PAL (Programmable Array Logic), PLA (Programmable Logic Array), 17 /51

18 Yapılar ların n Karşı şılaştırılması Fonksiyon Miktarı 1M 100K CBIC Maliyet=$ K PLD Maliyet<$1000 Kapı Dizileri Maliyet<$ Üretim Zamanı 18 /51

19 ASIC Nerelerde Kullanılır? 1. Özel bir uygulamaya yönelik çok sayıda ürün ihtiyacı olan durumlarda, 2. Yalnız bir amaç için tasarlanan devrelerde 19 /51

20 CPLD Nedir? CPLD ler çip yoğunluğu arttırılmış kompleks bir PLD dir. CPLD, birbirlerine matris şeklinde bağlanmış, birer PLD olan giriş ve çıkış bloklarından oluşmuştur. 20 /51

21 CPLD Özellikleri Kapasiteleri ve Pin sayıları sınırlıdır, 5ns lik hıza sahip (200MHz) karmaşık kapılardan oluşur, Yeniden yazılabilir bir elemandır. Tasarım Doğrulama Ürün Yeniden yapılandırılabilme 21 /51

22 CPLD Avantajları Tasarım kolaylığı Düşük üretim maliyeti Daha fazla ürün kazancı Azaltılmış board alanı = CPLD Baskı devredeki iletim hatları 22 /51

23 Basit Bir CPLD Tasarımı CPLD Baskı devredeki iletim hatları 23 /51

24 CPLD Yapılar ları CPLD ler üç farklı mimaride yapılırlar; Sigorta veya Atık-Sigorta yapısı, EPROM, EEPROM veya Flash elemanları kullanılarak, StatikRAM kullanılarak. 24 /51

25 CPLD Yapılar ları Lojik Blok Lojik Blok I/O Lojik Blok Programlanabilir Ara Bağlant lantılarlar Lojik Blok I/O Lojik Blok Lojik Blok Genel Bir CPLD Mimarisi 25 /51

26 CPLD Programlama CPLD tasarımında VHDL,Verilog, ABEL gibi yazılımlar kullanılabilir. İstenilen tasarım dosyası JEDEC olarak kaydedilir. JEDEC dosyası CPLD ye aktarılır. 44P 84P CPLD Programlayıcı 26 /51

27 CPLD Nerelerde Kullanılır? 1. Enerji kesildiğinde dahi konfigürasyon bilgisinin kaybolmaması ve hızlı başlangıç gerektiren uygulamalarda, 2. Çok fazla kapasite ihtiyacı olmayan hemen hemen tüm lojik sistemlerin tasarımında, 27 /51

28 FPGA Nedir? PLD ve MPGA lerin başarılı bir birleşmesidir. Lojik bloklar dizisi ve ara bağlantı kaynaklarından oluşmuştur. 28 /51

29 FPGA Özellikleri Yeniden konfigüre edilebilir bir elemandır, Çok-Seviye lojik uygulamaya olanak sağlar, Çoğunlukla StatikRAM teknolojisi ile konfigüre edilirler, Tasarımın denklemleri olması gerekmez, algoritmalar yada genel hesaplamalar tasarımın gerçeklenmesinde yeterlidir. Tasarım Doğrulama Ürün Yeniden yapılandırılabilme 29 /51

30 Neden FPGA Sipariş, stok problemleri, Piyasaya hızlı çıkış ve düşük risk, Standart ve Süper bilgisayarların emülasyonu, Donanımın online olarak değiştirilebilmesi, Güç verimi ve hız kabiliyetleri, Esnek zamanlama yeteneği. 30 /51

31 FPGA Yapılar ları FPGA ler mimarileri ve programlama şekillerine göre 3 kısımda incelenirler; Antisigorta-tabanlı EPROM, EEPROM ve Flash tabanlı StatikRAM tabanlı 31 /51

32 Antisigorta FPGA Programlanmış Antisigortalar Çıkış Kısmı Lojik Modül Çıkışı Lojik Modül Girişi 1 Giriş Kısmı Lojik Modül Sıraları 32 /51

33

34 SRAM FPGA Okuma Veya Yazma Q Q Konfigürasyon kontrol Data Bir SRAM Hafıza HücresiH 34 /51

35 FPGA Programlama FPGA elemanı kullanılarak bir lojik tasarım yapmak için şu adımlar izlenir; Tasarım tanımlanır, Netlist oluşturulur, Yerleştirme ve bağlantılar oluşturulur, Bitstream üretilir, Yükleme yapılır. 35 /51

36 FPGA Programlama Board VHDL Kodları Entity VE is port (x,y: in bit; z: out bit); end VE; Architecture arc of VE is begin z<= x and y; end deneme; Sentezleme Netlist LUT CLB FPGA Bitstream Yerleştirme ve Bağlama Yükleme ve Test Bitstream Üretilmesi Bağlantılar 36 /51

37 FPGA Nerelerde Kullanılır? Time to Market Performans Miktar Emülasyon Emülasyon Çok yüksek Önemsiz Düşük Prototip İlk Ürün Prototip Çok yüksek Önemsiz Düşük Ürün İlk ürün Çok yüksek Kritik Orta Ürün Çok yüksek Kritik Yüksek 1. Çok karmaşık lojik devre uygulamalarında, 2. Çok fazla kapasite ihtiyacı olan hemen hemen tüm lojik devrelerin tasarımında 37 /51

38 FPGA-CPLD Karşı şılaştırma FPGA Ailesi Yoğunluk Performans MHz I/O Hafıza Kbit Ara Bağlantı Virtex-II Virtex 40K- 8M S. Kapısı 50K- 1M S. Kapısı , , Artan şekilde CPLD Spartan-3 50K-5M S. Kapısı ,872 FPGA CPLD Ailesi Yoğunluk Performans MHz I/O Hafıza Kbit Ara Bağlantı 1.8V S. Kapısı V 3.3V S. Kapısı S. Kapısı Crossbar Anahtar 5V S. Kapısı /51

39 3.3 SoC Tasarım m Geliştirme I. SoC tasarım teknolojisi SSI (Small Scale Integrated) MSI (Medium Scale Integrated) LSI (Large Scale Integrated) VLSI (Very Large Scale Integrated) ULSI (Ultra Large Scale Integrated) II. SoC tasarım metotları Şematik Çizim (Schematic capture) HDL (Hardware Description Language) ABEL (Advanced Boolen Expression Language) Verilog System C JHDL VHDL (VHSIC Hardware Description Language) 39 /51

40 3.3.I SoC Tasarım m Teknolojisi Mikroelektronik işlemci teknolojisindeki hızlı ilerlemeler sayesinde içerisinde milyonlarca transistör bulunduran çipler üretmek mümkündür. SSI (Small Scale Integrated) MSI (Medium Scale Integrated) LSI (Large Scale Integrated) VLSI (Very Large Scale Integrated) ULSI (Ultra Large Scale Integrated) 40 /51

41 3.3.II SoC Tasarım m Metotları Tasarım, iki yöntemden biri olan şematik çizim programı yada herhangi bir tanımlama dili ile tanımlanır. 41 /51

42 Şematik Çizim Şematik çizimde dört adım vardır: İstenilen tasarım için lojik kapılar sayfaya yüklenir, Tasarımcı kapılar arasında hatları kullanarak istediği bağlantıyı gerçekleştirir, Giriş çıkış birimleri eklenir, Son olarak bir netlist dosyası oluşturulur. Netlist, şematik çizim programı ile tasarlanan devrenin metin eşdeğeridir. Üretici firma tabanlı bir dosya olmasına rağmen endüstri tabanlı olan EDIF (Electronic Digital Interchange Format) standardı da vardır. 42 /51

43 Şematik Çizim Kapı Yükleme Kapıların Bağlanması DF DF Kütüphane VE VEYA Netlist A B C D Q R S I/O eklenmesi 43 /51

44 Şematik Çizim Genel bir çalışma sayfası yaklaşık 200 kapı içerir, örneğin kapılı tasarım için 50 safya Her bir sayfada yukarıdaki adımlar tekrarlanır, Firmalar ve teknolojiler arası geçiş zordur. Bu zorluklardan kurtulmak için donanım tanımlama dilleri kullanılır. 44 /51

45 Donanım m Tanımlama Dili Devre metin dosyası şeklinde tanımlanır. Tasarım firmalardan bağımsız ve kısa sürede olur. Tasarımda yapılacak herhangi bir değişiklik çok kısa sürede gerçeklenebilir. 45 /51

46 Şematik ve HDL Şematik Tasarım 6000 kapı, 30 çizim sayfası, Tasarım süresi: 3gün, Firmaya bağımlı Entity Mult is Port(A,B:in bit_vector(0 to 15); Y:out bit_vector(0 to 31)); End Mult; Architecture Behave of Mult is Begin Y<=A*B; End Behave; HDL ile yazılm lmış tasarım 6000 kapı, 1 metin dosyası,8 satırlık kod, Tasarım süresi: 30dk, Firmadan bağımsız 46 /51

47 VHDL Nedir? VHDL dili büyük sayısal tasarımların dökümantasyonu, doğrulanması ve sentezlenmesi için kullanılır. Devre metin dosyası şeklinde tanımlanır. Tasarım firmalardan bağımsız ve kısa sürede olur. Tasarımda yapılacak herhangi bir değişiklik çok kısa sürede gerçeklenebilir. 47 /51

48 Neden VHDL? Güç ve Esneklik Çipten Bağımsız Tasarım Taşınabilirlik Test Edilebilirlik ASIC e transfer Piyasaya hızlı çıkış düşük maliyet Avrupa da çok yaygın oluşu, Amerikan savunma sanayinde önemli bir yeri olması Dezavantaj olarak ise sentezleyiciler arasında farklılık göstermesi 48 /51

49 VHDL Akış Diyagramı Ürün seçimi VHDL Tasarım Sentezleme Komutları Sentezleyici Yazılım Netlist veya Lojik denklem Fonksiyonel Simülasyon Yerleştirme ve Yönlendirme Timing Simülasyon Post Layout Simülasyon Statik Timing Rapor Programlama Dosyası JEDEC Simülatör Programlayıcı Bilgi Form 49 /51

50 VHDL Nesneleri Entity Architecture Process/Subprogram Package Subprogram X X X X Component X X Configuration X Constant X X X X Datatype X X X X Port X Signal X X X Variable X 50 /51

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Programlanabilir Lojik Basit Programlanabilir Lojik Cihazlar (Simple Programmable Logic Device - SPLD) ** PAL (Programmable

Detaylı

FPGA ile Gömülü Sistem Tasarımına Giriş

FPGA ile Gömülü Sistem Tasarımına Giriş FPGA ile Gömülü Sistem Tasarımına Giriş Bilg. Yük. Müh. Selçuk BAŞAK SelSistem Bilgi ve İletişim Teknolojileri www.selsistem.com.tr Giriş Gömülü Sistemler Programlanabilir Lojik - SPLD FPGA & CPLD Donanım

Detaylı

ELM320- ENTEGRE DEVRE TASARIMI

ELM320- ENTEGRE DEVRE TASARIMI ELM320- ENTEGRE DEVRE TASARIMI METODOLOJİLER GİRİŞ CMOS Yapısı + V DD V H1 0 V in S G G S D D Q 2 V H1 Q 1 V o 0 CMOS Tersleyici Transfer Karakteristiği 2 Neden CMOS? Gerilimin +V DD den 0V a düştüğü veya

Detaylı

Digital Design HDL. Dr. Cahit Karakuş, February-2018

Digital Design HDL. Dr. Cahit Karakuş, February-2018 Digital Design HDL Dr. Cahit Karakuş, February-2018 NOT, AND, and OR Gates NAND and NOR Gates DeMorgan s Theorem Exclusive-OR (XOR) Gate Multiple-input Gates Basic Logic Gates and Basic Digital Design

Detaylı

SAYISAL VLSI SİSTEM TASARIM AKIŞI

SAYISAL VLSI SİSTEM TASARIM AKIŞI SAYISAL VLSI SİSTEM TASARIM AKIŞI 1 Tasarım Öncesi: Ürünle ilgili bilgilerin olgunlaştırılması: kullanım yeri/amacı? yıllık gereksinim (sayı)? teknik gereksinimler/özellikler (spec.)? Fizibilite çalışması:

Detaylı

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber FPGA ile Kablosuz Görüntü Aktarımı Yusuf Onur Koçberber Seminer 2009 Yaz Plan FPGA Genel bilgiler FPGA nın İç Yapısı, Nasıl Programlanabiliyor?, Nasıl Çalışıyor? Neden bu kadar popüler oldu? MPW Her şeyin

Detaylı

Gömülü Sistemler. (Embedded Systems)

Gömülü Sistemler. (Embedded Systems) Gömülü Sistemler (Embedded Systems) Tanım Gömülü Sistem (Embedded System): Programlanabilir bilgisayar içeren fakat kendisi genel amaçlı bilgisayar olmayan her türlü cihazdır. Gömülü Sistem (Embedded System):

Detaylı

VHDL. Ece Olcay Güneş & S. Berna Örs

VHDL. Ece Olcay Güneş & S. Berna Örs VHDL Ece Olcay Güneş & S. Berna Örs Giriş VHDL VHSIC Hardware Description Language in kısaltmasıdır. VHSIC Very High Speed Integrated Circuit in kısaltmasıdır. VHDL dışında da pekçok donanım tasarlama

Detaylı

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK Yıldız Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü Lisans Üstü Semineri Bahar 2009 Giriş Programlanabilir Lojik - SPLD FPGA

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 4 Programlanabilir Mantık Elemanları Programlanabilir mantık aygıtları (Programmable Logic Devices), PLD mantık geçitleri ve flip-floplar

Detaylı

William Stallings Computer Organization and Architecture 9 th Edition

William Stallings Computer Organization and Architecture 9 th Edition William Stallings Computer Organization and Architecture 9 th Edition Bölüm 5 İç Hafıza Bir Hafıza Hücresinin Çalışması Bütün hafıza hücrelerinin ortak özellikleri vardır: 0 ve 1 durumundan birini gösterirler

Detaylı

ENTEGRELER (Integrated Circuits, IC) Entegre nedir, nerelerde kullanılır?...

ENTEGRELER (Integrated Circuits, IC) Entegre nedir, nerelerde kullanılır?... ENTEGRELER (Integrated Circuits, IC) Entegre nedir, nerelerde kullanılır?... İçerik Düzeni Entegre Tanımı Entegre Seviyeleri Lojik Aileler Datasheet Okuma ENTEGRE TANIMI Entegreler(IC) chip adı da verilen,

Detaylı

80C51 Mikrodenetleyicilerinde Timer-Counter Yapılarının FPGA Mimarileri Kullanılarak Geliştirilmesi. Özdemir ÇETİN 1. Bölümü, Adapazarı

80C51 Mikrodenetleyicilerinde Timer-Counter Yapılarının FPGA Mimarileri Kullanılarak Geliştirilmesi. Özdemir ÇETİN 1. Bölümü, Adapazarı 80C51 Mikrodenetleyicilerinde Timer-Counter Yapılarının FPGA Mimarileri Kullanılarak Geliştirilmesi Özet Murat ÇAKIROĞLU 1 Ahmet Turan ÖZCRİT 1 alil İbrahim SKİKURT 1 Özdemir ÇTİN 1 1 Sakarya Üniversitesi,

Detaylı

1. GİRİŞ 1.1. Giriş ve Çalışmanın Amacı

1. GİRİŞ 1.1. Giriş ve Çalışmanın Amacı 1. GİRİŞ 1.1. Giriş ve Çalışmanın Amacı İnsanların birbirlerine olan güvenlerinin iyice azaldığı günümüz dünyasında, veri iletişiminde güvenliğin sağlanması en önemli konulardan biri haline gelmiştir.

Detaylı

VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması

VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması 6 th International Advanced Technologies Symposium (IATS 11), 16-18 May 2011, Elazığ, Turkey VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması S. Uzun 1, M. R. Canal 2, M.

Detaylı

FGPA ile Gömülü Sistem Tasarımına Giriş Introduction to Embeded System Design Using FPGA

FGPA ile Gömülü Sistem Tasarımına Giriş Introduction to Embeded System Design Using FPGA FGPA ile Gömülü Sistem Tasarımına Giriş Introduction to Embeded System Design Using FPGA Selçuk BAŞAK Özetçe Bilgisayar Mühendisliği Bölümü Yıldız Teknik Üniversitesi, İstanbul selcuk@selsistem.com Bu

Detaylı

DONANIM KURULUMU. Öğr. Gör. Murat YAZICI. 1. Hafta.

DONANIM KURULUMU. Öğr. Gör. Murat YAZICI. 1. Hafta. 1. Hafta DONANIM KURULUMU Öğr. Gör. Murat YAZICI www.muratyazici.com Artvin Çoruh Üniversitesi, Artvin Meslek Yüksekokulu Bilgisayar Teknolojisi Programı Dersin İçeriği BELLEKLER Belleğin Görevi Bellek

Detaylı

FPGA Mimarisi. Bilgisayar Mimarisinde Yeni Yaklaşımlar. Mehmet AKTAŞ

FPGA Mimarisi. Bilgisayar Mimarisinde Yeni Yaklaşımlar. Mehmet AKTAŞ FPGA Mimarisi Bilgisayar Mimarisinde Yeni Yaklaşımlar Mehmet AKTAŞ 1. Giriş Sigorta Bağlantılı Teknolojiler Karşıt Sigorta Teknolojisi ROM PROM EPROM EEPROM SRAM 2. Programlanabilir Teknolojiler Programlanabilir

Detaylı

OP-AMP UYGULAMA ÖRNEKLERİ

OP-AMP UYGULAMA ÖRNEKLERİ OP-AMP UYGULAMA ÖRNEKLERİ TOPLAR OP-AMP ÖRNEĞİ GERİLİM İZLEYİCİ Eşdeğer devresinden görüldüğü gibi Vo = Vi 'dir. Emiter izleyici devreye çok benzer. Bu devrenin giriş empedansı yüksek, çıkış empedansı

Detaylı

VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı

VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı Kenan Baysal 1, Deniz Taşkın 2, Eser Sert 3, Nurşen Topçubaşı 4 1 Namık Kemal Üniversitesi, Hayrabolu Meslek Yüksekokulu, Bilgi Yönetimi

Detaylı

Bilgisayar Yapısı MİKROİŞLEMCİ SİSTEMLERİ. Bilgisayar Temel Birimleri. MİB Yapısı. Kütükler. Kütükler

Bilgisayar Yapısı MİKROİŞLEMCİ SİSTEMLERİ. Bilgisayar Temel Birimleri. MİB Yapısı. Kütükler. Kütükler Bilgisayar Yapısı MİKROİŞLEMCİ SİSTEMLERİ Yrd. oç. r. Şule ündüz Öğüdücü Bilgisayar verilen verileri, belirlenen bir programa göre işleyen, istenildiğinde saklayabilen, gerektiği zaman geriye verebilen

Detaylı

MİKROİŞLEMCİLER. Mikroişlemcilerde Kullanılan Yeni Teknolojiler ve Mikroişlemcilerin Rakipleri

MİKROİŞLEMCİLER. Mikroişlemcilerde Kullanılan Yeni Teknolojiler ve Mikroişlemcilerin Rakipleri MİKROİŞLEMCİLER MİKROİŞLEMCİLER Mikroişlemcilerde Kullanılan Yeni Teknolojiler ve Mikroişlemcilerin Rakipleri Mikroişlemcilerde Kullanılan Yeni Teknolojiler Mikroişlemcilerin performanslarının arttırılmasına

Detaylı

T.C. TRAKYA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ŞİFRELEME İŞLEMLERİ İÇİN FPGA İLE YÜKSEK KAPASİTELİ ÇARPMA DEVRESİ TASARIMI.

T.C. TRAKYA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ŞİFRELEME İŞLEMLERİ İÇİN FPGA İLE YÜKSEK KAPASİTELİ ÇARPMA DEVRESİ TASARIMI. T.C. TRAKYA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ŞİFRELEME İŞLEMLERİ İÇİN FPGA İLE YÜKSEK KAPASİTELİ ÇARPMA DEVRESİ TASARIMI Kenan BAYSAL YÜKSEK LİSANS TEZİ BİLGİSAYAR MÜHENDİSLİĞİ ANABİLİM DALI Tez Danışmanı:

Detaylı

Programlanabilir Devreler

Programlanabilir Devreler Programlanabilir Devreler Testbench & Simülasyon İçerik Tasarlamış olduğumuz sayısal sistemlerin fonksiyonel olarak istenildiği gibi gerçekleştirdiğini doğrulamak gerekir. Verilog ve VHDL gibi donanım

Detaylı

CUMHURİYET MESLEKİ VE TEKNİK ANADOLU LİSESİ BİLİŞİM TEKNOLOJİLERİNİN TEMELLERİ DERSİ DERS NOTLARI BELLEKLER

CUMHURİYET MESLEKİ VE TEKNİK ANADOLU LİSESİ BİLİŞİM TEKNOLOJİLERİNİN TEMELLERİ DERSİ DERS NOTLARI BELLEKLER BELLEKLER Genel olarak bellekler, elektronik bilgi depolama üniteleridir. Bilgisayarlarda kullanılan bellekler, işlemcinin istediği bilgi ve komutları maksimum hızda işlemciye ulaştıran ve üzerindeki bilgileri

Detaylı

(Random-Access Memory)

(Random-Access Memory) BELLEK (Memory) Ardışıl devreler bellek elemanının varlığı üzerine kuruludur Bir flip-flop sadece bir bitlik bir bilgi tutabilir Bir saklayıcı (register) bir sözcük (word) tutabilir (genellikle 32-64 bit)

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ Yrd. Doç. Dr. Mustafa H.B. UÇAR 1 2. HAFTA Yrd. Doç. Dr. Mustafa Hikmet Bilgehan UÇAR Entegre Yapıları Lojik Kapılar Lojik

Detaylı

ERCİYES ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTUSÜ BİLGİSAYAR MÜHENDİSLİĞİ ANABİLİMDALI. I. GENEL BİLGİLER Ders Adı

ERCİYES ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTUSÜ BİLGİSAYAR MÜHENDİSLİĞİ ANABİLİMDALI. I. GENEL BİLGİLER Ders Adı BİM618 Evrimsel Algoritmalar Öğretim Üyesi Prof. Dr. Derviş Karaboğa Görüşme Saatleri 8.00-17.00 E posta: karaboga@erciyes.edu.tr http://abis.erciyes.edu.tr/sorgu.aspx?sorgu=236 Erciyes Üniversitesi, Mühendislik

Detaylı

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi Buse Ustaoğlu Berna Örs Yalçın İçerik Giriş Çalişmanın Amacı Mikroişlemciye Hata Enjekte Etme Adımları Hata Üreteci Devresi

Detaylı

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK Mikroişlemci HAFTA 1 HAFIZA BİRİMLERİ Program Kodları ve verinin saklandığı bölüm Kalıcı Hafıza ROM PROM EPROM EEPROM FLASH UÇUCU SRAM DRAM DRRAM... ALU Saklayıcılar Kod Çözücüler... GİRİŞ/ÇIKIŞ G/Ç I/O

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SYISL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı ÖLÜM 3 Mantık Geçitleri Değil (Inverter) Geçidi İnverter geçidi oolean NOT işlemini yapar. Giriş YÜKSEK olduğunda çıkışını DÜŞÜK, giriş DÜŞÜK

Detaylı

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur.

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur. HDL Dilleri HDL(Donanım Tanımlama Dili); tasarımın, HDL dillerinden her hangi bir tanesinin kullanılarak yapılmasıdır. HDL bir donanım parçasını modellemek için kullanılan yazılım dilidir. VHDL ile Verilog

Detaylı

EEM 419-Mikroişlemciler Güz 2017

EEM 419-Mikroişlemciler Güz 2017 EEM 419-Mikroişlemciler Güz 2017 Katalog Bilgisi : EEM 419 Mikroişlemciler (3+2) 4 Bir mikroişlemci kullanarak mikrobilgisayar tasarımı. Giriş/Çıkış ve direk hafıza erişimi. Paralel ve seri iletişim ve

Detaylı

Bilgisayar Mimarisi ve Organizasyonu Giriş

Bilgisayar Mimarisi ve Organizasyonu Giriş + Bilgisayar Mimarisi ve Organizasyonu Giriş Bilgisayar Mimarisi Bilgisayar Organizasyonu Programcının görebileceği bir sistemin nitelikleri Bir programın mantıksal yürütülmesi üzerinde direk bir etkisi

Detaylı

Mikroişlemcili Sistemler ve Laboratuvarı 5.HAFTA:BÖLÜM-1

Mikroişlemcili Sistemler ve Laboratuvarı 5.HAFTA:BÖLÜM-1 SAKARYA ÜNİVERSİTESİ Bilgisayar ve Bilişim Bilimleri Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemcili Sistemler ve Laboratuvarı 5.HAFTA:BÖLÜM-1 Doç.Dr. Ahmet Turan ÖZCERİT Doç.Dr. Cüneyt BAYILMIŞ

Detaylı

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş C ile 8051 Mikrodenetleyici Uygulamaları BÖLÜM 2 8051 Mikrodenetleyicisine Giriş Amaçlar 8051 mikrodenetleyicisinin tarihi gelişimini açıklamak 8051 mikrodenetleyicisinin mimari yapısını kavramak 8051

Detaylı

BELLEKLER. Kelime anlamı olarak RAM Random Access Memory yani Rastgele Erişilebilir Bellek cümlesinin kısaltılması ile oluşmuş bir tanımdır.

BELLEKLER. Kelime anlamı olarak RAM Random Access Memory yani Rastgele Erişilebilir Bellek cümlesinin kısaltılması ile oluşmuş bir tanımdır. BELLEKLER 1- RAM (Random Access Memory) Nedir? Kelime anlamı olarak RAM Random Access Memory yani Rastgele Erişilebilir Bellek cümlesinin kısaltılması ile oluşmuş bir tanımdır. Kısaca: RAM bilgisayar için

Detaylı

APPLICATION OF CPLD BASED LOGIC ELECTRONICS CIRCUITS

APPLICATION OF CPLD BASED LOGIC ELECTRONICS CIRCUITS 5. Uluslararası İleri Teknolojiler Sempozyumu (İATS 09), 13-15 Mayıs 2009, Karabük, Türkiye SAYISAL ELEKTRONİK DEVRELERİN CPLD TABANLI UYGULAMASI APPLICATION OF CPLD BASED LOGIC ELECTRONICS CIRCUITS Salim

Detaylı

HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları

HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları Ders Adı Ders Kodu Dönemi Ders Saati Uygulama Saati Laboratuar Saati Kredi AKTS HDL ile Gelişmiş Sayısal Tasarım EE 425 Her İkisi 2 2 0 3 5 Ön Koşul

Detaylı

BÖLÜM 2 SAYI SİSTEMLERİ

BÖLÜM 2 SAYI SİSTEMLERİ İÇİNDEKİLER BÖLÜM 1 GİRİŞ 1.1. Lojik devre içeriği... (1) 1.1.1. Kodlama, Kod tabloları... (2) 1.1.2. Kombinezonsal Devre / Ardışıl Devre... (4) 1.1.3. Kanonik Model / Algiritmik Model... (4) 1.1.4. Tasarım

Detaylı

Programlanabilir Sayısal Sistemler

Programlanabilir Sayısal Sistemler T.C. MALTEPE ÜNİVERSİTESİ MÜHENDİSLİK VE DOĞA BİLİMLERİ FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ LİSANS PROGRAMI 2011-12 Bahar Yarıyılı Programlanabilir Sayısal Sistemler BİL322 AKTS Kredisi 5 3. yıl 6. yarıyıl

Detaylı

2. SAHADA PROGRAMLANABİLİR KAPI DİZİLERİ (FPGA)

2. SAHADA PROGRAMLANABİLİR KAPI DİZİLERİ (FPGA) 1. GİRİŞ Analog devrelerden sayısal devrelere geçildiği günümüzde, sayısal işaret işlemede, sayısal filtreler önemli bir rol oynamaktadır. Birçok cihazda kullanılan sayısal filtreleri gerçeklemek için

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar)

Detaylı

Bilgisayar Yapısı MİKROİŞLEMCİ SİSTEMLERİ. Bilgisayar Temel Birimleri. Kütükler. Kütükler. Merkezi İşlem Biriminin İç Yapısı

Bilgisayar Yapısı MİKROİŞLEMCİ SİSTEMLERİ. Bilgisayar Temel Birimleri. Kütükler. Kütükler. Merkezi İşlem Biriminin İç Yapısı Bilgisayar Yapısı MİKROİŞLEMCİ SİSTEMLERİ oç. r. Şule Gündüz Öğüdücü http//ninova.itu.edu.tr/tr/dersler/bilgisayar-bilisim-fakultesi/3/blg-22/ Bilgisayar verilen verileri, belirlenen bir programa göre

Detaylı

Bellekler. Mikroişlemciler ve Mikrobilgisayarlar

Bellekler. Mikroişlemciler ve Mikrobilgisayarlar Bellekler 1 Bellekler Ortak giriş/çıkışlara, yazma ve okuma kontrol sinyallerine sahip eşit uzunluktaki saklayıcıların bir tümdevre içerisinde sıralanmasıyla hafıza (bellek) yapısı elde edilir. Çeşitli

Detaylı

Görüntü İşleme Algoritmalarının Fpga Donanımı Üzerinde Gerçeklenmesi. Implementatıon Image Processıng Algorıthms on FPGA Hardware

Görüntü İşleme Algoritmalarının Fpga Donanımı Üzerinde Gerçeklenmesi. Implementatıon Image Processıng Algorıthms on FPGA Hardware Görüntü İşleme Algoritmalarının Fpga Donanımı Üzerinde Gerçeklenmesi Ali Recai Çelik1, Ahmet Alkan2 1 Dicle Üniversitesi, Elektrik-Elektronik Mühendisliği Bölümü, Diyarbakır 2 KSÜ, Elektrik-Elektronik

Detaylı

Proje #2 - Lojik Devre Benzetimi

Proje #2 - Lojik Devre Benzetimi Kocaeli Universitesi Bilgisayar Mühendisliği Programlama Laboratuvarı I BLM 209 Proje #2 - Lojik Devre Benzetimi Dosya Operasyonları Üzerine Uygulama Geliştirme Arş. Gör. Süleyman Eken & Arş. Gör. Furkan

Detaylı

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar) Bus

Detaylı

İSTANBUL TEKNİK ÜNİVERSİTESİ ELEKTRİK ELEKTRONİK FAKÜLTESİ

İSTANBUL TEKNİK ÜNİVERSİTESİ ELEKTRİK ELEKTRONİK FAKÜLTESİ İSTANBUL TEKNİK ÜNİVERSİTESİ ELEKTRİK ELEKTRONİK FAKÜLTESİ CEP TELEFONLARINDAKİ DİZİ ŞİFRELEMENİN SAHADA PROGRAMLANABİLİR KAPI DİZİLERİ İLE GERÇEKLENMESİ BİTİRME ÖDEVİ Furkan DAYI 040010136 Bölümü: Elektronik

Detaylı

ENTEGRE DEVRE TASARIMI

ENTEGRE DEVRE TASARIMI ENTEGRE DEVRE TASARIMI Hazırlayan Doç. Dr. Halil İbrahim ESKİKURT SAÜ TEKNOLOJİ FAKÜLTESİ 2016 Entegre Devre Tasarım Metodolojileri Bölüm 1 BÖLÜM 1 ENTEGRE DEVRE TASARIM METODOLOJİLERİ 1.1 Giriş : Temel

Detaylı

T.C. TRAKYA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ŞİFRELEME ALGORİTMASININ FPGA'DA UYGULANMASI. Yasin AKMAN YÜKSEK LİSANS TEZİ

T.C. TRAKYA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ŞİFRELEME ALGORİTMASININ FPGA'DA UYGULANMASI. Yasin AKMAN YÜKSEK LİSANS TEZİ T.C. TRAKYA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ŞİFRELEME ALGORİTMASININ FPGA'DA UYGULANMASI Yasin AKMAN YÜKSEK LİSANS TEZİ BİLGİSAYAR MÜHENDİSLİĞİ ANABİLİM DALI Tez Danışmanı: YRD. DOÇ. DR. TARIK YERLİKAYA

Detaylı

İ.T.Ü. Bilişim Enstitüsü Bilgisayar Bilimleri FPGA MİMARİSİ

İ.T.Ü. Bilişim Enstitüsü Bilgisayar Bilimleri FPGA MİMARİSİ İ.T.Ü. Bilişim Enstitüsü Bilgisayar Bilimleri FPGA MİMARİSİ İsim :Mehmet AKTAŞ Numara :704071011 Ders :Bilgisayar Mimarisinde Yeni Yaklaşımlar Öğretim Üyesi :Prof. Dr. Bülent Örencik 1. Giriş... 2 1.1.

Detaylı

İSTANBUL TEKNİK ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK FAKÜLTESİ ÖZET FONKSİYON TABANLI GÜVENLİ BİR RFID PROTOKOLÜNÜN FPGA ÜZERİNDE GERÇEKLENMESİ

İSTANBUL TEKNİK ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK FAKÜLTESİ ÖZET FONKSİYON TABANLI GÜVENLİ BİR RFID PROTOKOLÜNÜN FPGA ÜZERİNDE GERÇEKLENMESİ İSTANBUL TEKNİK ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK FAKÜLTESİ ÖZET FONKSİYON TABANLI GÜVENLİ BİR RFID PROTOKOLÜNÜN FPGA ÜZERİNDE GERÇEKLENMESİ BİTİRME ÖDEVİ YUSUF GÖRÜM 040080379 Bölümü: Elektronik ve Haberleşme

Detaylı

İçindekiler FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ SİSTEMİ ŞEMASI:... 5 FPGA GELİŞTİRME KARTINA PROGRAM YÜKLEME:... 6

İçindekiler FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ SİSTEMİ ŞEMASI:... 5 FPGA GELİŞTİRME KARTINA PROGRAM YÜKLEME:... 6 Hazırlayan: Erkan ÇİL 2016 2 FPGA Geliştirme Kartı Kullanıcı Kılavuzu İçindekiler FPGA Geliştirme Kartı Bilgileri FPGA Geliştirme Kartının Kullanımı FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ

Detaylı

BİLGİSAYAR DONANIMI KONU: ANAKART RAM-ROM ADI: KÜBRA SOYADI: ŞAHİN NO: SINIFI:1/B ADI: SELMA SOYADI: ÇELİK NO: SINIFI:1/B

BİLGİSAYAR DONANIMI KONU: ANAKART RAM-ROM ADI: KÜBRA SOYADI: ŞAHİN NO: SINIFI:1/B ADI: SELMA SOYADI: ÇELİK NO: SINIFI:1/B KONU: ANAKART RAM-ROM BİLGİSAYAR DONANIMI ADI: KÜBRA SOYADI: ŞAHİN NO: 155511106 SINIFI:1/B ADI: SELMA SOYADI: ÇELİK NO: 165511070 SINIFI:1/B ÖĞRETMEN: YILMAZ EROĞLU İÇİNDEKİLER ANAKARTIN TARİHÇESİ...3

Detaylı

SFINKS DİZİ ŞİFRELEME ALGORİTMASININ VHDL İLE YAZILIMI VE FPGA ÜZERİNDE GERÇEKLENMESİ

SFINKS DİZİ ŞİFRELEME ALGORİTMASININ VHDL İLE YAZILIMI VE FPGA ÜZERİNDE GERÇEKLENMESİ SFINKS DİZİ ŞİFRELEME ALGORİTMASININ VHDL İLE YAZILIMI VE FPGA ÜZERİNDE GERÇEKLENMESİ Proje Sahibi: Ahmed Yasir DOĞAN Üniversite: İstanbul Teknik Üniversitesi Bölümü: Elektronik Mühendisliği, Danışmanı:

Detaylı

DENİZ HARP OKULU BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ

DENİZ HARP OKULU BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ DENİZ HARP OKULU BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜM BAŞKANLIĞI DERS TANITIM BİLGİLERİ Dersin Adı Kodu Sınıf/Y.Y. Ders Saati (T+U+L) Kredi AKTS Bilgisayar Organizasyonu ve Mimarisi BİM-312 3/I 3+0+0 3 4 Dersin

Detaylı

İşletim Sistemleri (Operating Systems)

İşletim Sistemleri (Operating Systems) İşletim Sistemleri (Operating Systems) 1 İşletim Sistemleri (Operating Systems) Genel bilgiler Ders kitabı: Tanenbaum & Bo, Modern Operating Systems:4th ed., Prentice-Hall, Inc. 2013 Operating System Concepts,

Detaylı

FPGA TABANLI DES KRİPTO ÇÖZÜCÜ SİSTEMİ YÜKSEK LİSANS TEZİ. Müh. Bora EMİROĞLU. Anabilim Dalı : Disiplinler Arası Programlar

FPGA TABANLI DES KRİPTO ÇÖZÜCÜ SİSTEMİ YÜKSEK LİSANS TEZİ. Müh. Bora EMİROĞLU. Anabilim Dalı : Disiplinler Arası Programlar İSTANBUL TEKNİK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI DES KRİPTO ÇÖZÜCÜ SİSTEMİ YÜKSEK LİSANS TEZİ Müh. Bora EMİROĞLU Anabilim Dalı : Disiplinler Arası Programlar Programı : Savunma Teknolojileri

Detaylı

Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose

Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose Mehmet BAKACAK, Taner TOPAL Bilgisayar Mühendisliği Kırıkkale

Detaylı

1. GİRİŞ. 1.1 Giriş ve çalışmanın amacı

1. GİRİŞ. 1.1 Giriş ve çalışmanın amacı 1. GİRİŞ 1.1 Giriş ve çalışmanın amacı Gelişen teknolojiyle internetin kullanımı her geçen gün daha da yaygınlaşmakta olup, internette yollanan veri paketleri birçok dışarıya açık networklerden geçmektedir.

Detaylı

GÖMÜLÜ SİSTEMLER 17.06.2015 GÖMÜLÜ SİSTEMLER 1

GÖMÜLÜ SİSTEMLER 17.06.2015 GÖMÜLÜ SİSTEMLER 1 GÖMÜLÜ SİSTEMLER 17.06.2015 GÖMÜLÜ SİSTEMLER 1 İÇERİK Gömülü Sistemler ARM işlemciler FPGA FBGA DS1006 İşlemci Kartı dspace PX10 box 17.06.2015 GÖMÜLÜ SİSTEMLER 2 GÖMÜLÜ SİSTEMLER Bir ana yada bir alt

Detaylı

EEM 306 Mikroişlemciler ve Lab. Doç.Dr. Mehmet SAĞBAŞ

EEM 306 Mikroişlemciler ve Lab. Doç.Dr. Mehmet SAĞBAŞ EEM 306 Mikroişlemciler ve Lab. Doç.Dr. Mehmet SAĞBAŞ Sayısal Sistemler ASIC (Application Specific Integrated Circuits) Belirli bir işlev için tasarlanırlar Performansları yüksektir Maliyetleri yüksektir

Detaylı

DOKUMANLAR

DOKUMANLAR DOKUMANLAR https://www.pickat.org Bu belgeyi yukarıdaki karekodu telefonunuza taratarak veya aşağıdaki linkten indirebilirsiniz. Link sürekli güncellenmektedir. https://drive.google.com/file/d/1wyi3ejzvge9vbu0ujklajnsjukbfldv/view?usp=sharing

Detaylı

BEDEN EĞİTİMİ I: Haftalık ders 1 saattir (T-0 ) (U-l) (K-0).

BEDEN EĞİTİMİ I: Haftalık ders 1 saattir (T-0 ) (U-l) (K-0). I.SINIF-1.YARIYIL TÜRK DİLİ I : Haftalık ders 2 saattir (T-2 ) (U-0) (K-2). Ders İçeriği; % 10 Dil, Diller ve Türk Dili, % 15 Dil Bilgisi, Sözcük ve Cümle % 25 Kelime Türleri % 25 Anlatım Öğeleri ve Anlatım

Detaylı

PROGRAMLAMAYA GİRİŞ. Öğr. Gör. Ayhan KOÇ. Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay.

PROGRAMLAMAYA GİRİŞ. Öğr. Gör. Ayhan KOÇ. Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay. PROGRAMLAMAYA GİRİŞ Öğr. Gör. Ayhan KOÇ Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay., 2007 Algoritma ve Programlamaya Giriş, Ebubekir YAŞAR, Murathan Yay., 2011

Detaylı

ANKARA ÜNİVERSİTESİ ELMADAĞ MESLEK YÜKSEKOKULU BİLGİSAYAR PROGRAMCILIĞI PROGRAMI DERS İÇERİKLERİ

ANKARA ÜNİVERSİTESİ ELMADAĞ MESLEK YÜKSEKOKULU BİLGİSAYAR PROGRAMCILIĞI PROGRAMI DERS İÇERİKLERİ ANKARA ÜNİVERSİTESİ ELMADAĞ MESLEK YÜKSEKOKULU BİLGİSAYAR PROGRAMCILIĞI PROGRAMI DERS İÇERİKLERİ TDİ111 TÜRKDİLİ 1 1. Dil, diller ve Türk dili 2. Dil bilgisi, sözcük, cümle 3. Kelime Türleri 4. Anlatımın

Detaylı

VHDL ile Mikroişlemci Tasarımı ve Eğitimde Uygulanabilirliği

VHDL ile Mikroişlemci Tasarımı ve Eğitimde Uygulanabilirliği Akademik Bilişim 11 - XIII. Akademik Bilişim Konferansı Bildirileri 2-4 Şubat 2011 İnönü Üniversitesi, Malatya VHDL ile Mikroişlemci Tasarımı ve Eğitimde Uygulanabilirliği Deniz Taşkın 1, Kenan Baysal

Detaylı

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme PROGRAMIN ADI DERSIN KODU VE ADI DERSIN ISLENECEGI DÖNEM HAFTALIK DERS SAATİ DERSİN SÜRESİ ENDÜSTRİYEL ELEKTRONİK MİK.İŞLEMCİLER/MİK.DENETLEYİCİLER-1 2. Yıl, III. Yarıyıl (Güz) 4 (Teori: 3, Uygulama: 1,

Detaylı

MİKROİŞLEMCİ MİMARİLERİ

MİKROİŞLEMCİ MİMARİLERİ MİKROİŞLEMCİ MİMARİLERİ Mikroişlemcilerin yapısı tipik olarak 2 alt sınıfta incelenebilir: Mikroişlemci mimarisi (Komut seti mimarisi), Mikroişlemci organizasyonu (İşlemci mikromimarisi). CISC 1980 lerden

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Giriş Mikro işlemler Fetch cycle Indirect cycle Interrupt cycle Execute cycle Instruction

Detaylı

1969 yılında, klasik (Elektrik) kumandanın. Elektronik karşılığı olan ilk PLC yapıldı yılında ise dört yıllık bir çalımanın ürünü

1969 yılında, klasik (Elektrik) kumandanın. Elektronik karşılığı olan ilk PLC yapıldı yılında ise dört yıllık bir çalımanın ürünü 1. PLC`nin Tarihcesi 1960 yılında yüksek verimlilik, güvenilirlik ve yeni devreler gibi üstünlükleri ile birlikte bilgisayarlar kullanılmaya başlandı. Buda beraberinde endüstriyel üretimde otomasyonu ortaya

Detaylı

4-Deney seti modüler yapıya sahiptir ve kabin içerisine tek bir board halinde monte edilmiştir.

4-Deney seti modüler yapıya sahiptir ve kabin içerisine tek bir board halinde monte edilmiştir. MDS 8051 8051 AİLESİ DENEY SETİ 8051 Ailesi Deney Seti ile piyasada yaygın olarak bulunan 8051 ailesi mikro denetleyicileri çok kolay ve hızlı bir şekilde PC nizin USB veya Seri portundan gönderdiğiniz

Detaylı

FPGA ĐLE YAPAY SĐNĐR AĞI EĞĐTĐMĐNĐN DONANIMSAL GERÇEKLENMESĐ. Mehmet Ali ÇAVUŞLU

FPGA ĐLE YAPAY SĐNĐR AĞI EĞĐTĐMĐNĐN DONANIMSAL GERÇEKLENMESĐ. Mehmet Ali ÇAVUŞLU FPGA ĐLE YAPAY SĐNĐR AĞI EĞĐTĐMĐNĐN DONANIMSAL GERÇEKLENMESĐ Mehmet Ali ÇAVUŞLU Anahtar Kelimeler: FPGA, Yapay Sinir Ağları, VHDL, Paralel Programlama, Kayan Noktalı Aritmetik Özet Yapay sinir ağlarının

Detaylı

T.C SELÇUK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ

T.C SELÇUK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ T.C SELÇUK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ALAN PROGRAMLAMALI KAPI DİZİLERİ (FPGA) ÜZERİNDE BİR YSA NIN TASARLANMASI VE DONANIM OLARAK GERÇEKLEŞTİRİLMESİ NECLA YILMAZ YÜKSEK LİSANS TEZİ BİLGİSAYAR

Detaylı

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits)

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits) SE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates nd Logic Circuits) Sakarya Üniversitesi Lojik Kapılar - maçlar Lojik kapıları ve lojik devreleri tanıtmak Temel işlemler olarak VE,

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 8. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar MULTIPLEXERS (VERİ SEÇİCİLER), ÜÇ DURUMLU BUFFERS, DECODERS (KOD ÇÖZÜCÜLER) BELLEK ELEMANLARI 2 8.2.

Detaylı

EGE Üniversitesi Mühendislik Fakültesi Bilgisayar Müh. Bölümü 2013-2014 Öğretim Yılı Lisans Tezi Önerileri

EGE Üniversitesi Mühendislik Fakültesi Bilgisayar Müh. Bölümü 2013-2014 Öğretim Yılı Lisans Tezi Önerileri EGE Üniversitesi Mühendislik Fakültesi Bilgisayar Müh. Bölümü 2013-2014 Öğretim Yılı Lisans Tezi Önerileri Danışman Öğretim Üyesi: Kasım Sinan YILDIRIM 1) Tez Başlığı: Kablosuz Algılayıcı Ağlarında Hareketli

Detaylı

IENG 227 Modern Üretim Yaklaşımları

IENG 227 Modern Üretim Yaklaşımları IENG 227 Modern Üretim Yaklaşımları Pamukkale Üniversitesi Endüstri Mühendisliği Bölümü IENG 227 Modern Üretim Yaklaşımları Dr. Hacer Güner Gören Esnek Üretim Sistemleri Esnek Üretim Sistemleri Bir esnek

Detaylı

Bilişim Sistemleri. Modelleme, Analiz ve Tasarım. Yrd. Doç. Dr. Alper GÖKSU

Bilişim Sistemleri. Modelleme, Analiz ve Tasarım. Yrd. Doç. Dr. Alper GÖKSU Bilişim Sistemleri Modelleme, Analiz ve Tasarım Yrd. Doç. Dr. Alper GÖKSU Ders Akışı Hafta 5. İhtiyaç Analizi ve Modelleme II Haftanın Amacı Bilişim sistemleri ihtiyaç analizinin modeli oluşturulmasında,

Detaylı

EEM 419-Mikroişlemciler Güz 2016

EEM 419-Mikroişlemciler Güz 2016 EEM 419-Mikroişlemciler Güz 2016 Katalog Bilgisi : EEM 419 Mikroişlemciler (3+2) 4 Bir mikroişlemci kullanarak mikrobilgisayar tasarımı. Giriş/Çıkış ve direk hafıza erişimi. Paralel ve seri iletişim ve

Detaylı

EM205 26/9/2014. Programlamaya giriş Algoritmalar. Amaçlar

EM205 26/9/2014. Programlamaya giriş Algoritmalar. Amaçlar EM205 26/9/2014 Programlamaya giriş Algoritmalar Temel kavramlar Algoritmalar Amaçlar Algoritma kavramını öğrenmek, Algoritmaları ifade edebilmek, Temel matematiksel algoritmaları yazabilmek C programlama

Detaylı

T.C. YILDIZ TEKNİK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI SENTEZLENEBİLİR İŞLEMCİ TASARIMI SELÇUK BAŞAK

T.C. YILDIZ TEKNİK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI SENTEZLENEBİLİR İŞLEMCİ TASARIMI SELÇUK BAŞAK T.C. YILDIZ TEKNİK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI SENTEZLENEBİLİR İŞLEMCİ TASARIMI SELÇUK BAŞAK YÜKSEK LİSANS TEZİ BİLGİSAYAR MÜHENDİSLİĞİ ANABİLİM DALI DANIŞMAN YRD. DOÇ. DR. SONGÜL

Detaylı

Tarih Saat Modül Adı Öğretim Üyesi. 01/05/2018 Salı 3 Bilgisayar Bilimlerine Giriş Doç. Dr. Hacer Karacan

Tarih Saat Modül Adı Öğretim Üyesi. 01/05/2018 Salı 3 Bilgisayar Bilimlerine Giriş Doç. Dr. Hacer Karacan BİLGİ TEKNOLOJİLERİ YÖNETİMİ EĞİTİM MODÜLLERİ Tarih Saat Modül Adı Öğretim Üyesi 01/05/2018 Salı Bilgisayar Bilimlerine Giriş Doç. Dr. Hacer Karacan Bu dersin amacı, bilgisayar bilimlerinin temel kavramlarını

Detaylı

KOCAELİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ

KOCAELİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ KOCAELİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ ALTERA FPGA KARTLARI LABORATUVAR UYGULAMALARININ NEXYS 4 ARTIX-7 FPGA KARTINA UYARLANMASI VE GERÇEKLENMESİ VERİLOGHDL İLE

Detaylı

Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları

Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları 2018-2019 Güz Yarıyılı Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları Dr.Öğr.Üyesi Anıl Çelebi OV7670 kamera üzerinden VGA üzerinden görüntü aktarma ve video sinyal işleme. Bu çalışmada OV7670 sensöründen

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI DENEY V : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI AMAÇLAR: ALTERA tarafından geliştirilen son teknoloji

Detaylı

Hamming Kodlamasının FPGA Ortamında Gerçekleştirilmesi

Hamming Kodlamasının FPGA Ortamında Gerçekleştirilmesi 6 th International Advanced Technologies Symposium (IATS ), 6-8 May 2, Elazığ, Turkey Hamming Kodlamasının FPGA Ortamında Gerçekleştirilmesi G. Yıldırım T. Tuncer 2 Y. Tatar 2 Devlet Su İşleri, gyildirim@dsi.gov.tr

Detaylı

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi Bil101 Bilgisayar Yazılımı I Bilgisayar Yüksek Mühendisi Kullanıcıdan aldığı veri ya da bilgilerle kullanıcının isteği doğrultusunda işlem ve karşılaştırmalar yapabilen, veri ya da bilgileri sabit disk,

Detaylı

T.C. SÜLEYMAN DEMİREL ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI ÜÇ EKSENLİ ROBOT KOL KONTROLÜ

T.C. SÜLEYMAN DEMİREL ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI ÜÇ EKSENLİ ROBOT KOL KONTROLÜ T.C. SÜLEYMAN DEMİREL ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ FPGA TABANLI ÜÇ EKSENLİ ROBOT KOL KONTROLÜ Faruk AYATA Danışman Doç. Dr. Abdülkadir ÇAKIR YÜKSEK LİSANS TEZİ ELEKTRONİK - BİLGİSAYAR EĞİTİMİ ANABİLİM

Detaylı

1. Ders Giriş. Mikroişlemcili Sistem Uygulamaları

1. Ders Giriş. Mikroişlemcili Sistem Uygulamaları 1. Ders Giriş Hazırlayan: Arş. Gör. Hakan ÜÇGÜN Mikroişlemcili Sistem Uygulamaları Dikkat ettiniz mi: Etrafımızdaki akıllı cihazların sayısı ne kadar da arttı. Cep telefonlarımız artık sadece iletişim

Detaylı

BİLGİSAYAR DESTEKLİ TASARIM II

BİLGİSAYAR DESTEKLİ TASARIM II 0 BÖLÜM 1 ORCAD PROGRAMINA GİRİŞ: OR-CAD programını başlatmak için Başlat menüsünden programlara gelinir. Programların içerisinde ORCAD Release 9 ve bunun içerisinden de ORCAD Capture seçilir. Karşımıza

Detaylı

Mikroişlemci Nedir? Mikrodenetleyici Nedir? Mikroişlemci iç yapısı Ders Giriş. Mikroişlemcili Sistem Uygulamaları

Mikroişlemci Nedir? Mikrodenetleyici Nedir? Mikroişlemci iç yapısı Ders Giriş. Mikroişlemcili Sistem Uygulamaları Mikroişlemcili Sistem Uygulamaları 1. Ders Giriş Dikkat ettiniz mi: Etrafımızdaki akıllı cihazların sayısı ne kadar da arttı. Cep telefonlarımız artık sadece iletişim sağlamakla kalmıyor, müzik çalıyor,

Detaylı

Mikroçita. Mikroçita Rapor 2:

Mikroçita. Mikroçita Rapor 2: Mikroçita Rapor 2: İşlemci projemizle ilgili olarak hazırlamış olduğumuz bu ikinci raporda öncelikli olarak vhdl kullanarak tasarladığımız işlemcimizin genel çalışmasını ilk rapora göre daha ayrıntılı

Detaylı

Fatih Üniversitesi. İstanbul. Haziran 2010. Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır.

Fatih Üniversitesi. İstanbul. Haziran 2010. Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır. Fatih Üniversitesi SIMATIC S7-200 TEMEL KUMANDA UYGULAMALARI 1 İstanbul Haziran 2010 Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır. İÇİNDEKİLER 1. GİRİŞ...

Detaylı

Bilgi ve İletişim Teknolojileri (JFM 102) Ders 10. LINUX OS (Programlama) BİLGİ & İLETİŞİM TEKNOLOJİLERİ GENEL BAKIŞ

Bilgi ve İletişim Teknolojileri (JFM 102) Ders 10. LINUX OS (Programlama) BİLGİ & İLETİŞİM TEKNOLOJİLERİ GENEL BAKIŞ Ders 10 LINUX OS (Programlama) BİLGİ & İLETİŞİM TEKNOLOJİLERİ GENEL BAKIŞ LINUX de Programlama LINUX işletim sistemi zengin bir programlama ortamı sağlar. Kullanıcılara sistemi geliştirme olanağı sağlar.

Detaylı

DGridSim Gerçek Zamanlı Veri Grid Simülatörü. Yazılım Tasarımı Dokümanı v 1.0.1 01.08.2011. Mustafa Atanak Sefai Tandoğan Doç. Dr.

DGridSim Gerçek Zamanlı Veri Grid Simülatörü. Yazılım Tasarımı Dokümanı v 1.0.1 01.08.2011. Mustafa Atanak Sefai Tandoğan Doç. Dr. DGridSim Gerçek Zamanlı Veri Grid Simülatörü Yazılım Tasarımı Dokümanı v 1.0.1 01.08.2011 Mustafa Atanak Sefai Tandoğan Doç. Dr. Atakan Doğan 1. Sistem Mimarisi DGridSim katmanlı bir yapı göz önünde bulundurularak

Detaylı

Yazılım Mühendisliğine Giriş

Yazılım Mühendisliğine Giriş Yazılım Mühendisliğine Giriş Ders Notu II 2018 GÜZ 1 Geleceğimizi Planlamak Günümüz ekonomisinde bilgisayar endüstrisi en hızlı büyüyen sektör olmaya devam etmektedir. Bu bağlamda: Rekabet edilebilirliği

Detaylı

9.DERS Yazılım Geliştirme Modelleri

9.DERS Yazılım Geliştirme Modelleri 9.DERS Yazılım Geliştirme Modelleri 1 Yazılım Geliştirme Yaşam Döngüsü ve Modeller Herhangi bir yazılımın, üretim aşaması ve kullanım aşaması birlikte olmak üzere geçirdiği tüm aşamalar olarak tanımlanabilir.

Detaylı

Chapter1: Introduction. Ders 1: Giriş. Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008~2010, John Wiley 1-1

Chapter1: Introduction. Ders 1: Giriş. Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008~2010, John Wiley 1-1 Ders 1: Giriş Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008~2010, John Wiley 1-1 Programlanabilir Devreler Chapter1: Introduction CPLD (Complex Programmable Logic Device) FPGA

Detaylı