Bellekler 2. SALT-OKU BELLEKLER



Benzer belgeler
HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK

DONANIM KURULUMU. Öğr. Gör. Murat YAZICI. 1. Hafta.

Bilgisayar Yapısı MİKROİŞLEMCİ SİSTEMLERİ. Bilgisayar Temel Birimleri. MİB Yapısı. Kütükler. Kütükler

Bellekler. Mikroişlemciler ve Mikrobilgisayarlar

CUMHURİYET MESLEKİ VE TEKNİK ANADOLU LİSESİ BİLİŞİM TEKNOLOJİLERİNİN TEMELLERİ DERSİ DERS NOTLARI BELLEKLER

5. HAFTA KBT104 BİLGİSAYAR DONANIMI. KBUZEM Karabük Üniversitesi Uzaktan Eğitim Uygulama ve Araştırma Merkezi

(Random-Access Memory)

William Stallings Computer Organization and Architecture 9 th Edition

Çukurova Üniversitesi Biyomedikal Mühendisliği

T.C. ULUDAĞ ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK DEVRELER LABORATUVARI I

ELEKTRONİK DEVRE TASARIM LABORATUARI-I MOSFET YARI İLETKEN DEVRE ELEMANININ DAVRANIŞININ İNCELENMESİ

Çukurova Üniversitesi Biyomedikal Mühendisliği

MİKROİŞLEMCİ MİMARİLERİ

BELLEKLER. Kelime anlamı olarak RAM Random Access Memory yani Rastgele Erişilebilir Bellek cümlesinin kısaltılması ile oluşmuş bir tanımdır.

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

DENEY-6 LOJİK KAPILAR VE İKİLİ DEVRELER

Bilgisayar Yapısı MİKROİŞLEMCİ SİSTEMLERİ. Bilgisayar Temel Birimleri. Kütükler. Kütükler. Merkezi İşlem Biriminin İç Yapısı

1) Yarıiletken teknolojisini anlamak. 1,2,4 1

ENTEGRELER (Integrated Circuits, IC) Entegre nedir, nerelerde kullanılır?...

Mikrobilgisayar Sistemleri ve Assembler

BÖLÜM Mikrodenetleyicisine Giriş

7. BELLEK BİRİMİ. Şekil 7-1 Bellek Birimlerinin Bilgisayar Sistemindeki Yeri

FPGA Mimarisi. Bilgisayar Mimarisinde Yeni Yaklaşımlar. Mehmet AKTAŞ

BİLGİSAYAR DONANIMI KONU: ANAKART RAM-ROM ADI: KÜBRA SOYADI: ŞAHİN NO: SINIFI:1/B ADI: SELMA SOYADI: ÇELİK NO: SINIFI:1/B

Bilgisayar Programcılığı Ön Lisans Programı BİLGİSAYAR DONANIMI. Öğr. Gör. Rıza ALTUNAY

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9

Yarıiletken devre elemanlarında en çok kullanılan maddeler;

Giriş MİKROİŞLEMCİ SİSTEMLERİ. Elektronik Öncesi Kuşak. Bilgisayar Tarihi. Elektronik Kuşak. Elektronik Kuşak. Bilgisayar teknolojisindeki gelişme

Mikrobilgisayarlar ve Assembler. Bahar Dönemi. Vedat Marttin

DENEY 5- Elektronik Silinebilir, Programlanabilir Salt Okunur Bellek (EEPROM) Devresi

Donanımlar Hafta 1 Donanım

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

ALAN ETKİLİ TRANSİSTÖR

Elektrik akımı ve etkileri Elektrik alanı ve etkileri Manyetik alan ve etkileri

Bölüm 4. Sistem Bileşenleri. Bilgisayarı. Discovering. Keşfediyorum Computers Living in a Digital World Dijital Dünyada Yaşamak

DENEY 1a- Kod Çözücü Devreler

Bölüm 4 Ardışıl Lojik Devre Deneyleri

1. ANALOG MOS TÜMDEVRE TEKNİĞİ

Dosya Saklama Ortamları (Sabit Diskler) Kütük Organizasyonu 1

18. FLİP FLOP LAR (FLIP FLOPS)

İletken, Yalıtkan ve Yarı İletken

DENEY 1:JFET TRANSİSTÖR VE KARAKTERİSTİKLERİ

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ

Merkezi İşlem Birimi (CPU)

Algoritma Geliştirme ve Veri Yapıları 3 Veri Yapıları. Mustafa Kemal Üniversitesi

DENEY 4a- Schmitt Kapı Devresi

SAYISAL VLSI SİSTEM TASARIM AKIŞI

5. LOJİK KAPILAR (LOGIC GATES)

6. Bölüm: Alan Etkili Transistörler. Doç. Dr. Ersan KABALCI

Ölçme Kontrol ve Otomasyon Sistemleri 1

1. Hafıza Depolama Araçları. 2. Hafıza Çeşitleri. 3. Hafıza Ölçümü. 4. Bilgisayar Performansı

Çukurova Üniversitesi Biyomedikal Mühendisliği

MOSFET. MOSFET 'lerin Yapısı

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ TEMEL ELEKTRİK DEVRE LABORATUVARI TEMEL DEVRE TEOREMLERİNİN UYGULANMASI

William Stallings Computer Organization and Architecture 9 th Edition

Multivibratörler. Monastable (Tek Kararlı) Multivibratör

A Ailesi MCU lar

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik

(VEYA-DEĞİL kapısı) (Exlusive OR kapısı) (Exlusive NOR kapısı)

Mikroişlemcili Sistemler ve Laboratuvarı

Bil101 Bilgisayar Yazılımı I. M. Erdem ÇORAPÇIOĞLU Bilgisayar Yüksek Mühendisi

DESTEK DOKÜMANI. Tablolu Malzeme Sınıfları

İ.T.Ü. Eğitim Mikrobilgisayarının Tanıtımı


1. PROGRAMLAMA. PDF created with pdffactory Pro trial version

Bölüm 7 FET Karakteristikleri Deneyleri

TBİL-405 Mikroişlemci Sistemleri Bölüm 2 1- % %01010 işleminin sonucu hangisidir? % %11000 %10001 %10101 %00011

Bölüm 1 Temel Lojik Kapılar

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme

Mikroişlemci ile Analog-Sayısal Dönüştürücü (ADC)

T.C. AMASYA ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ EEM207/ GEEM207 ELEKTRONİK-I LABORATUVARI DENEY RAPORU

BELLEK BİRİMLERİ BELLEK BİRİMLERİ

1. SAYISAL SİSTEMLERE GİRİŞ

MEKATRONİĞİN TEMELLERİ TEMEL ELEKTRONİK KAVRAMLARI

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

Sensörler Öğr. Gör. Erhan CEMÜNAL Thomas Alva Edison

ELEKTRONİK DEVRE TASARIM LABORATUARI-I

Elektrik Müh. Temelleri

Ünite-2 Bilgisayar Organizasyonu.

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits)

Bölüm 8 FET Karakteristikleri

T.C. YALOVA ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ENERJİ SİSTEMLERİ MÜHENDİSLİĞİ BÖLÜMÜ ESM 413 ENERJİ SİSTEMLERİ LABORATUVARI I

BİL 423 Bilgisayar Mimarisi 1. Ara Sınavı

DENEY FÖYÜ8: Lojik Kapıların Elektriksel Gerçeklenmesi

Karadeniz Teknik Üniversitesi Mühendislik Fakültesi * Elektrik-Elektronik Mühendisliği Bölümü Elektronik Anabilim Dalı * Elektronik Laboratuarı I

1. PS/2 klavye fare 2. Optik S/PDIF çıkışı 3. HDMI Giriş 4. USB 3.0 Port 5. USB 2.0 Port 6. 6 kanal ses giriş/çıkış 7. VGA giriş 8.

SORULAR (37-66) Aşağıdakilerden hangisi günümüz anakartlarının en çok kullanılan veriyoludur?

ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY 6 ANALOG/DİGİTAL DÖNÜŞTÜRÜCÜ. Grup Numara Ad Soyad RAPORU HAZIRLAYAN:

Elektronik cihazların yapımında en çok kullanılan üç yarıiletken şunlardır,

DENEYİN AMACI: Bu deneyde MOS kuvvetlendiricilerden ortak kaynaklı ve ortak akaçlı devreler incelenecektir.

BÖLÜM 1 GİRİŞ 1.1 GİRİŞ

Bilgisayarların Gelişimi

Ölçme Kontrol ve Otomasyon Sistemleri 8

Dosya Saklama Ortamları (Devam) Kütük Organizasyonu 1

7. BELLEK B R M. ekil 7-1 Bellek Birimlerinin Bilgisayar Sistemindeki Yeri

Bölüm 4 Aritmetik Devreler

Deney 7: Aritmetik ve Lojik İşlem Birimi(ALU)

8. FET İN İNCELENMESİ

Ders 2- Temel Elektriksel Büyüklükler

MİKROBİLGİSAYAR SİSTEMLERİ VE ASSEMBLER

Transkript:

Türkiye Bilişim Ansiklopedisi Bellekler 1. GİRİŞ Bellekler büyük miktarda sayısal bilgi saklayan sistemlerdir. Burada bellek olarak sadece günümüz teknolojisine uygun olarak yarıiletken bellekler ele alınacaktır. Belleklerde sayısal bilgi, çekirdek ismi verilen ve satır-sütun düzeninde bir matris yapısına sahip olan ana bölümde saklanır. Herbir satır ve sütunun kesişim noktasında bir bitlik bir bilgiyi saklayan bir bellek hücresi mevcuttur. Çekirdekteki herhangi bir bellek hücresine yazma veya okuma işlemi yapmak için o satır ve sütuna erişmek gerekir. Çekirdekteki satırlar, başka bir deyişle yatay hatlar, kelime hatları (word lines); sütunlar, başka bir deyişle düşey hatlar ise bit hatları (bit lines) olarak adlandırılır. Satır ve sütun sayısı arttıkça, yani çekirdek boyutu büyüdükçe satır ve sütun bağlantılarını tümleştirilmiş devre (tümdevre) dışına almak olanaksız hale gelir. Satır sayısı 2 N, sütun sayısı 2 M olan bir çekirdek göz önüne alınırsa, N>5, M>5 için söz konusu zorluk başlar. Örnek olarak N=M=10 için satır ve sütun sayısı 1024 olup, 1Mbit lik bir çekirdek söz konusu olup, günümüzdeki gerçekleştirmeler açısından çok mütevazı bir bellek çekirdeğinde sadece adresleme için toplam 2048 bağlantı ucu gerekecektir. Bu uçlara dış dünyadan daha az sayıda uçtan erişebilmek için satır ve sütun kod çözücüleri kullanılır. Satır ve sütun kod çözücüleri ile birlikte bir yarıiletken belleğin genel yapısı Şekil 1.1 de görülmektedir. Satır ve sütun kod çözücüleri yardımıyla adresleme uçları 2 N ve 2 M den sırasıyla N ve M ye inmekte, böylece bunlara tümdevre ortamında da dışarıdan erişilebilmesi mümkün hale gelmektedir. 1 2. N satır adresleri satır kod çözücü 2 N satır ÇEKİRDEK sütun kod çözücü 1 2... M sütun adresleri 2 M sütun Şekil 1.1 Satır ve sütun kod çözücüleri ile birlikte bellek yapısı. 2. SALT-OKU BELLEKLER Salt-oku bellekler (read-only memory: ROM ) bazı sabit değerlerin, değiştirilmesi söz konusu olmayan kontrol bilgilerinin ve bazı komutların saklanması için kullanılırlar. ROM lar metal maske aşamasında fabrikada programlanan bellek üniteleri olmakla beraber, bunların, daha sonra kullanıcılar tarafından programlanabilen, başka bir ifadeyle sakladıkları bilgiler belli bir prosedürle yeniden organize edilebilen türleri de gerçekleştirilmektedir. Bunlara örnek olarak PROM (programlanabilen ROM), EPROM (elektriksel olarak programlanabilen ROM), EEPROM (elektriksel olarak silinebilen ve programlanabilen ROM) gösterilebilir. Aşağıda salt-oku bellek yapıları, bunlara ait satır ve sütun kod çözücüler anlatıldıktan sonra, bunların PROM, EPROM ve EEPROM haline nasıl getirildikleri belirtilecektir. Temelde iki tür salt-oku bellek yapısı söz konusudur. Bunlar NOR (EVRİK VEYA) temelli ve NAND (EVRİK VE) temelli salt-oku belleklerdir. NOR Temelli ROM NOR temelli CMOS salt-oku bellek dizisinin ilk üç satır ve beş sütununa karşı düşen küçük bir kısmı Şekil 2.1 de görülmektedir. Yapı herbir sütununun satır girişlerine göre NOR işlemi yapmaktadır. Geçitleri topraklanmış olan pmos lar paralel bağlı nmos ların oluşturduğu NOR kapılarının aktif yükleri olup, NOR kapıları bu halleriyle sözde nmos NOR kapıları oluşturmaktadırlar. Satır-sütun dizisinin kesişme noktalarının bazılarında bir nmos transistoru bulunup, bazılarında ise bulunmamaktadır. Herhangi bir satır girişi lojik 1 e çekildiğinde, geçitleri o satıra bağlı bulunan transistorlar iletime geçer ve kesişme noktalarında nmos bulunan sütunlar düşük seviyeye (lojik 0 ) çekilirken, kesişme noktalarında nmos bulunmayan sütunlar yüksek seviyede (lojik 1 ) kalır. Başka bir ifadeyle, pozitif lojik için, saklanan lojik 1 bilgisi bir kesişme noktasında nmos bulunmaması, lojik 0 bilgisi ise bir nmos bulunması ile sağlanır. ROM üretiminde, bellek matrisinin tüm kesişim noktalarında bir nmos transistor oluşturulur. 1 yazılması istenen yerlerdeki nmos ların eşik gerilimi yükseltilir, 0 yazılması istenen yerlerdekiler ise normal eşikli bırakılır. Kimi proseslerde ise, alternatif olarak, 1 yazılması istenen yerlerdeki nmos ların geçit bağlantılarının yapılmaması yoluna gidilir. Sözde nmos NOR kapıları biçiminde düzenlenen bu ROM un en büyük sakıncası, ilgili kelime hattı seçilerek iletime sokulan transistorların neden olduğu statik güç tüketimidir. Bu sakıncayı gidermek amacıyla pmos transistor geçitleri toprağa bağlanmayıp bir ön yükleme işaretine bağlanır. Okuma işlemine geçmeden

Bellekler önce, 0 dan 1 e çekilerek pmos yük transistorları kesime sokulur. Bir kelime hattı seçildiğinde nmos ların bulunduğu (lojik 0 yazılı) bit hatları lojik 0 a çekilir, transistorların bulunmadığı (lojik 1 yazılı) bit hatları ise yüksek seviyesini korur. R1 R2 V DD R1 R2 R3 C1 C2 C3 C4 C5 V DD R3 RN C1 C2 C3 C4 C5 Şekil 2.2 NAND temelli sözde nmos ROM un küçük bir kısmı. Şekil 2.1 NOR temelli sözde nmos ROM un küçük bir kısmı. VDD R1 NAND Temelli ROM NAND temelli CMOS salt-oku bellek dizisinin küçük bir kısmı Şekil 2.2 de görülmektedir. Yapı herbir sütununun satır girişlerine göre NAND işlemi yapmaktadır. Geçitleri topraklanmış olan pmos transistorlar seri bağlı nmos ların oluşturduğu NAND kapılarının aktif yükleridir. Satır sütun dizisinin kesişme noktalarının bazılarında bir nmos transistoru bulunup, bazılarında ise nmos yerine kısa devre vardır. Tüm satırlar lojik 1 de iken, herhangi bir satır girişi lojik 0 a çekildiğinde, geçitleri o satıra bağlı bulunan nmos lar kesime girer ve kesişme noktalarında nmos bulunan sütunlar yüksek seviyeye (lojik 1 ) çekilirken, kesişme noktalarında nmos bulunmayan sütunlar düşük seviyede (lojik 0 ) kalır. Başka bir ifadeyle, pozitif lojik için, saklanan lojik 1 bilgisi bir kesişme noktasında nmos bulunması, lojik 0 bilgisi ise bir kısa devre bulunması ile sağlanır. ROM üretiminde, bellek matrisinin herbir kesişim noktasında bir nmos transistor oluşturulur. 0 yazılması istenen yerlerdeki nmos ların eşik gerilimi düşürülür (sürekli iletimde kalırlar), 1 yazılması istenen yerlerdekiler ise normal eşikli bırakılır. Alternatif olarak, kimi proseslerde, 0 yazılması istenen yerlerdeki nmos ların savak ve kaynak uçları kısa devre edilir. NAND temelli ROM çekirdeğinde transistorlar seri bağlandıkları için sütun düşük seviye değerlerini (lojik 0 ) istenilen bir değerin altında tutabilmek açısından satır sayısı çok fazla artırılamaz. Bu nedenle büyük ölçekli ROM çekirdekleri NOR temelli yapılır. A1 A2 A3 Adres ayırıcılar Şekil 2.3 NOR temelli sözde nmos satır kod çözücüsünün küçük bir kısmı. Satır Kod Çözücüleri Bellek kapasitesinin artırmak için satır ve sütun sayısını artırmak gerekir. Satır ve sütun sayıları modern belleklerde binler mertebesinde olup, bu kadar çok satır ve sütuna ulaşmak tümleştirilmiş devrelerin kullanılan kılıf teknolojisine bağlı ve yüz mertebesini aşamayan bağlantı ucu (pin) nedeniyle mümkün olmaz. Dış dünyadan bellek çekirdeğinin herhangi bir satır ve sütununa makul sayıda bağlantı ucundan ulaşabilmek için satır ve sütun kod çözücüleri kullanılır. ROM lar için satır kod çözücülerinin topolojileri kullanılan çekirdeğin yapısına bağlı olup, NOR ve NAND temelli çekirdekler için kod çözücüler de sırasıyla NOR ve NAND temelli olurlar. Satır kod çözücüleri girişlerindeki ikili koda göre yazılmış sayı ile bellek R2 R3 R4

Türkiye Bilişim Ansiklopedisi hücresindeki 2 N satırdan birini seçecek biçimde tasarlanır. Bu durumda kod çözücü giriş ucu sayısı N olup, giriş uçlarının lojik 1 veya 0 olmalarının değişik kombinezonlarına göre (bunların sayısı 2 N dir) istenilen satır seçilir. Şekil 2.3 te NOR temelli bir ROM için tasarlanmış satır kod çözücüsünden bir kesit görülmektedir. İlk üç girişi ve ilk dört çıkışı görülen kod çözücüde A1, A2 ve A3 girişlerine gelen ikili koddaki sayıya karşı düşen satır dışındaki bütün satırlar, o satırı oluşturan nmos transistorlardan en az biri iletimde olacağı için, lojik 0 a çekilmiş olacak ve istenilen satır seçme işlemi gerçekleşmiş olacaktır. Örnek olarak A1=0, A2=0, A3=0 ise, sadece R1 deki transistorların hepsi kesimde olacak ve bu satır lojik 1 e yükselecektir. Sütun Kod Çözücüleri Sütun kod çözücüsü olarak kullanılan birçok devre topolojisi söz konusudur. Bunlardan en çok kullanılan bir tür olan ağaç türü sütun kod çözücüsü Şekil 2.4 te görülmektedir. Sütun kod çözücüsünün ikili koddaki üç adres bilgisi ile sekiz sütundan birini seçme işlemi B1, B2 ve B3 ün 0 veya 1 olmasına göre üç farklı seviyedeki tek ya da çift numaralı sıradaki nmos ların iletime girerek sütunlardan birini seçmesi olarak özetlenebilir. Şekilden de açıkça görülebileceği üzere sütun kod çözücüsünde M adet ikili tabandaki giriş kodu ile 2 M sütundan biri seçilebilmektedir. B1 B2 Adres ayırıcılar C1 C2 C3 C4 C5 C6 C7 C8 müşteri isteklerine göre yapılacaktır. Bu durum özellikle az sayıdaki kullanım amaçları için ekonomik olmadığı gibi, zaman kaybına da neden olur. Bu nedenle kullanıcılar tarafından programlanabilen saltoku bellek türleri gerçekleştirilmektedir. Programlanabilir salt-oku bellek (PROM) Programlanabilir salt-oku bellekler, bellek satır ve sütun kesişim noktalarına diyot ve transistor gibi çeşitli aktif elemanlar yerleştirilerek gerçekleştirilir. Programlama kullanıcı tarafından kelime ve bit hatları (satır ve sütunlar) belli bir gerilimin üzerine çıkarılan kesişim noktalarındaki aktif elemanların yakılarak, diğerlerinin ise sağlam bırakılarak yapılmasına dayalı bir işlemle gerçekleştirilir. Bu tür bellekler ROM lar gibi bir kez programlanabilen yapılardır. PROM lar silinebilen (EPROM, EEPROM) salt-oku bellekler kullanıma girdikten sonra ortadan kalkmışlardır. Silinebilen programlanabilir salt-oku bellek(eprom) Adından da anlaşılacağı üzere silinebilen ve elektriksel olarak programlanabilen salt-oku bellekler, besleme gerilimi kesilse bile sakladığı bilgi kaybolmayan bilgi depolama aygıtlarının en kullanışlı türlerinden olmuşlardır. Bir EPROM un klasik bir ROM dan farkı, bellek çekirdeğindeki kesişim noktalarındaki aktif elemanın klasik bir nmos yerine FAMOS teknolojisi ile (Floating-gate, avalanche injection, metal oxide semiconductor) gerçekleştirilmiş çift geçitli bir eleman olmasıdır. Böyle bir bellek elemanı Şekil 2.5 te görülmektedir [4]. Bu transistorun geçitlerinden biri, tamamen SiO 2 ile yalıtılmış olan yüzer geçittir. Bu yüzer geçide ait geçit kapasitesi sakladığı yükü uzun yıllar muhafaza edebilir. Bu geçit kapasitesi, sayısal bilginin saklanması görevini üstlenmektedir. B3 metal bit hattı polisilisyum kelime hattı yüzer geçit veri Şekil 2.4 Ağaç tipi sütun kod çözücüsü. SiO 2 n+ n+ p+ p difüzyonlu toprak hattı Programlanabilir salt-oku bellekler (PROM, EPROM, EEPROM) Salt-oku belleklerin programlanması fabrikasyon işlemlerinden son maske aşaması olan metal maske adımında, bazı bağlantıların yapılması veya yapılmaması, ya da eşik ayarı ile gerçekleştirildiği yukarıdaki açıklamalardan anlaşılmaktadır. O halde ROM ların programlanması üretici firma tarafından Şekil 2.5 Çift geçitli EPROM transistoru (FAMOS). Transistora yeni bilgi aktarılması, başka bir ifadeyle programlama şu şekilde olmaktadır. Yüzer geçit ve taban arasında nispi olarak yüksekçe bir gerilim oluştuğunda, bu geçide çığ olayı ile elektronlar enjekte edilir. Bu, savak ve kaynağı arasına yüksekçe bir

Bellekler gerilim uygulanan transistorun geçidine de yüksek sayılabilecek (yaklaşık 25V) bir gerilim uygulanarak gerçekleştirilir. Bu sırada kaynaktan savağa ulaşırken yüksek kinetik enerji kazanan elektronların bir kısmı geçitte oluşan elektriksel alanın çekim kuvvetiyle SiO 2 tabakasını aşarak yüzer geçide ulaşır. Bu şekilde, yüzer geçidi negatif yüklü transistorlar, kanallarında yığılma (p + >p) söz konusu olduğu için, eşik gerilimleri artmış bir geçiş karakteristiğine sahip olurlar. ROM çekirdeğinde bazıları yukarıda anlatılan şekilde programlanmış, bazıları ise programlanmamış transistorlar kullanılarak bilgi saklanır. Çekirdek eğer NOR temelli ise, geçitleri lojik 1 e çıkarılan kelime hattına bağlı olan programlanmış transistorlar kesimde kalırlar. Buna karşılık, programlanma aşamasında yüzer geçitlerine elektron enjekte edilmeyen transistorlar ise iletime girerler. Yani yüzer geçitlerine elektron enjekte edilen FAMOS transistorlar NOR temelli bir çekirdekte kullanıldıklarında lojik 1 bilgisini, elektron enjekte edilmeyenler ise lojik 0 bilgisini saklamaktadırlar. Saklanan bilginin silinmesi ise şu şekilde gerçekleştirilmektedir: Silmek için yüzer geçidin sakladığı yükün buradan uzaklaştırılması gerekir. Bu amaçla, yüzer geçide uygun dalga boyunda (2537Å dalgaboylu - morötesi) kuvvetli ışıklandırmayı, yüzer geçitteki yükler tamamen uzaklaştırmaya yetecek sürede (20 ila 30 dakika) uygulamak gerekir. Bu ışıklandırmayı sağlamak amacıyla tümleştirilmiş ROM kılıfı üzerinde kuvartz bir pencere oluşturulur. Bu işlemin gerçekleştirilmesi için EPROM un devredeki yuvasından çıkartılması zorunluluğu en büyük dezavantaj olarak karşımıza çıkmaktadır. Elektriksel olarak silinebilen programlanabilir saltoku bellek (EEPROM) EPROM ların devreden sökülmeden silinememesinin ve programlama işleminin yapılamamasının bazı uygulamalarda büyük zorluklara neden olduğu göz önünde tutularak, bilgi saklayan hücre transistorları modifiye edilerek elektriksel olarak silinebilen ve programlanabilen salt-oku bellekler (EEPROM) geliştirilmiştir. Bir EEPROM hücresinin basitleştirilmiş kesiti Şekil 2.6 da görülmektedir. Şekilden de görüleceği gibi, bir hücre, tek geçitli bir seçme transistoru yanında çift geçitli bir saklama transistorunu da içermektedir. Başka bir ifadeyle, bir EEPROM hücresi silisyum kırmık üzerinde bir EPROM hücresine göre daha fazla yer kaplamaktadır. Şekil 2.6 da yüzer geçidin savak tarafına bakılırsa, geçit iletkeninin savağa uzaklığının özellikle azaltılarak tünel olayına uygun hale getirildiği farkedilebilir. Bu özelliklere sahip bir bellek hücresi için söz konusu teknolojide zamanla birçok gelişme yaşanmış, gerek yer, gerek hız açısından belirgin bir ilerleme sağlanmıştır. EPROM ve EEPROM ların sentezi olarak ortaya çıkan FLASH bellekler bu gelişmeye verilebilecek en iyi örnektir. Poly arası oksidi Geçit oksidi S G n+ n+ n+ p yüzer geçit D Seçme transistoru Şekil 2.6 EEPROM hücresi (FLOTOX) [5]. 3. YAZ-OKU BELLEK Daha çok rasgele erişimli bellek (random-access memory RAM ) olarak anılan bu bellek türünde, bellek hücrelerindeki verinin okunabilmesi yanında değiştirilebilmesi (yazılması) de mümkündür. Aslında EEPROM da bir yaz-oku bellek olarak düşünülebilse de, RAM ile arasındaki en önemli iki fark, EEPROM a veri yazılabilmesi için kayıtlı verilerin tümüyle silinmesi gerekliliği ve yeni verinin yazılması işleminin RAM e kıyasla oldukça daha uzun bir sürede gerçekleşebilmesidir. RAM de, ilişkin adresin satır ve sütunu seçildiği zaman herhangi bir bellek hücresinin içeriği yüksek hızlarda okunabildiği gibi, aynı zamanda okuma hızıyla kıyaslanabilir hızlarda değiştirilebilir de. RAM lerde okuma yanında - ROM lardan farklı olarak - bir de yazma işlemi sözkonusu olduğundan, bir bellek hücresinin satır ve sütununun seçilmesi yanında, okuma ve yazma işlemleri arasında da bir seçim gerekir ve bu yüzden bunlar için de kontrol bitleri kullanılır. Okuma ve yazma sırasında kullanılan yöntemler birbirinden oldukça farklı olduğundan, birbirlerinden ayrı ayrı yapılarda olan okuma ve yazma devrelerinden yararlanılması gerekir. Günümüzde birçok uygulamada, erişim hızı yüksek ve yüksek kapasiteli RAM lere gereksinim olduğundan RAM ler tümleştirme yoğunluğu yüksek olan yarıiletken teknolojileriyle (yaygın olarak CMOS) gerçeklenmektedirler. RAM lerin büyük çoğunluğunun ROM lara göre dezavantajı, (volatile) olmaları, yani besleme kaynağı kesildiği zaman içindeki bilginin silinmesidir. Bu yüzden, bir cihazın kullandığı RAM de kayıtlı bilgilerin cihaz kapandığı zaman (enerji kesildiğinde) yitirilmemesi gerekiyorsa, RAM e enerji sağlamayı

Türkiye Bilişim Ansiklopedisi sürdürecek ek bir pilden yararlanılır. Kimi özel üretim teknolojileriyle, olmayan (non-volatile) RAM ler de üretilebilmektedir (Örnek: Ferroelektrik RAM). Çalışma ilkelerinden yola çıkılarak RAM ler statik RAM (SRAM) ve dinamik RAM (DRAM) olmak üzere iki temel sınıfa ayrılabilirler. SRAM lerin üstünlüğü erişim hızının daha yüksek olması, DRAM lerinki ise büyük bellek kapasiteleridir. Yüksek erişim hızı gerektiren uygulamalarda SRAM tercih edilirken, daha yavaş erişim hızlarının yeterli olduğu ama büyük bellek kapasitesi gerektiren yerlerde DRAM kullanılır. Herbir bit hattına birçok SRAM hücresi bağlı olduğundan, hatta gözardı edilemez büyüklükte bir kapasite oluşur. Bunlar belleğe erişim ve okuma/yazma gecikmelerini ve hızlı okuma sırasındaki hataları artırdıklarından, küçük tutulmaya çalışılırlar [6]. Statik RAM (SRAM) Statik RAM in temel bellek hücresi, Şekil 3.1 de görüldüğü gibi sırtsırta pozitif geribesleme oluşturacak biçimde bağlanmış iki eviriciden oluşur. Bu biçimde bir bağlantıda herbir çıkışın iki tane kararlı lojik konumu sözkonusudur (bu yüzden çift kararlı ikili devre denir). C ve C, birbirinin evriği olan bit hatlarıdır ve RS (row select : satır seçme) girişi 1 yapıldığında bellek hücresinin çıkışları bu hatlara bağlanmış olur. Şekil 3.1 Temel 1 bitlik SRAM bellek hücresi. Şekil 3.2 de bir SRAM hücresinin CMOS gerçeklemesi görülmektedir. Dışarıdan bir etki olmadığı sürece (örneğin RS= 0 için) A ve B düğümlerinde A= 1, B= 0 veya A= 0, B= 1 konumları korunur. Ancak, RS= 1 iken, dışarıdan bir sürücü devreyle C hattı (dolayısıyla A düğümü) örneğin 0 seviyesindeyken 1 seviyesine zorlanıp sürücü geri çekilince bu yeni kararlı konum saklanmış olur. Bu, yazma işlemidir. Okuma işlemi ise, yine RS= 1 iken A ve B düğümlerini olabildiğince az etkileyerek C ve C hatlarındaki gerilim seviyelerini sezme biçiminde olur. RS= 0 için bellek hücresi içeriğini korur. Şekil 3.2 1 bitlik SRAM hücresinin CMOS gerçeklemesi. Şekil 3.3 SRAM hücresinin sütun kapasitesi ve önyükleme transistorlarıyla gösterimi. Şekil 3.3 te, C ve C hatlarının eşdeğer kapasiteleri ile sütun önyükleme transistorları da gösterilmiştir. C ve C hatlarına herhangi bir bellek hücresi bağlı değilken, M C ve M C transistorları (sürekli iletimdeler) C C ve C C kapasitelerini doldurarak bu hatları V DD ye (yani 1 e) çeker (önyükleme işlemi). Okuma sırasında bu hatlar A ve B düğümlerine sırasıyla M S1 ve M S2 anahtar transistorlar aracılığıyla bağlanınca, kapasitelerden biri boşalmaya başlar (örneğin A= 0 ve B= 1 ise, C C boşalmaya başlar). Okuma işleminin hızlı olabilmesi (böylece, sıradaki okunacak/yazılacak bellek hücresine çabuk geçilebilmesi) için, boşalan kapasitenin tamamen boşalması beklenmeden C ve C hatları arasındaki farka bakılır ve bellek hücresinde saklanmakta olan veri anlaşılmış (okunmuş) olur. Okuma işleminin hızlı olması, çok küçük gerilim farklarını sezebilecek devrelerle, yani fark kuvvetlendiricileriyle sağlanır. Belleklerde kullanılan bu analog devrelere sezme kuvvetlendiricisi denir ve iyi tasarlanmaları gerekir. Gerçeklemede, bir sütuna bağlı üstüste dizilmiş birçok bellek hücresinin herbiri ayrı bir RS girişine sahip olur ve böyle sütunlardan da birçoğu yanyana dizilerek bellek yapısı elde edilmiş olur. Bir bellek hücresine okuma ve yazma için erişmek için ilişkin RS ve CS (column select : sütun seçme) girişleri 1 e çekilir. Şekil 3.4 te, bir sütunda SRAM bellek hücrelerinin dizilimi görülmektedir (sütun kapasiteleri C C ve C C gösterilmemiştir). CS 1 yapılarak seçilen bit hatları yazma/okuma devresine bağlanmış olur. D io ve D io uçları yazma/okuma devresinin giriş veya çıkışlarıdır (yazma sırasında giriş, okuma sırasında çıkış) ve birbirlerinin evriğidirler. Yazma sırasında D io ve D io uçlarındaki veri D ve D düğümlerine ve dolayısıyla ilişkin RS ile seçilmiş olan bellek hücresinin iç noktalarına ulaştırılır. Bellek hücresinin yeni kararlı

Bellekler konumuna zorlanması D ve D düğümlerinin bir sayısal tampon devreyle sürülmesi yoluyla olur. Okuma ise, bir fark kuvvetlendiricisi yardımıyla yapılır. Şekil 3.4 te blok olarak gösterilen yazma/okuma devresine bir örnek yine Şekil 3.4 te sağda görülmektedir. E girişi okuma ve yazma durumunda pmos lardan oluşan devreyi bir akım fark kuvvetlendiricisi ya da basit bir anahtar haline çevirmeye yaramaktadır [6]. tarafından uzun vadede boşaltılıp verinin yitirilmesidir. Bunu önlemek için veri tazeleme işlemi gerekmektedir. Öte yandan, günümüzde yaygın olan DRAM türlerinde verinin okuma işlemi sonrası da verinin yitirilmesi riski olduğundan, okumanın ardından veriyi eski değerine döndürmek için her okuma sonrasında ayrı bir işlem gerekmektedir. Bütün bunlar bellek erişim hızını daha da kötü etkilemektedir. Bu yüzden, günümüzde DRAM leri hız açısından daha yüksek verimde kullanabilmek üzere çeşitli yaklaşımlar vardır. Şekil 3.5 te iki DRAM hücresi örneği verilmiştir. Bu iki hücre, sırasıyla 4 transistorlu DRAM ve 3 transistorlu DRAM olarak anılırlar. Bunlar, henüz kişisel bilgisayarların yaygınlaşmadığı dönemlerde (1980 lere kadar) kullanılan yapılardı. Bu yapılarda verinin saklandığı kondansatörler, transistorların geçit ve jonksiyon kapasitelerinin katkısıyla oluşan parazitik kapasitelerdir. Böylece, sadece transistorlar kullanılarak temel bellek hücreleri o zamanın mikroelektronik teknolojisiyle bile rahatça oluşturulabiliyordu. Şekil 3.4 SRAM yapısında bir sütuna bağlı elemanların gösterimi. SRAM ler en hızlı yaz-oku bellek türleridir, ancak yapılarının çok fazla transistor (hücre başına 6 adet) içermesi nedeniyle, bir kırmıkta elde edilebilecek SRAM hücre sayısı (bellek kapasitesi) sınırlanır. Bellek kapasitesi büyük olan hızlı SRAM lerin fiyatı da oldukça yüksek olur. Tümleştirme yoğunluğu (veya bir kırmıkta daha büyük bellek kapasitesi) elde etme anlamında statik RAM in ucuz alternatifi dinamik RAM dir (DRAM). Ancak bu RAM türünün hızı daha düşüktür ve SRAM e göre ek kimi süreçlere (tazeleme gibi) gereksinim duyar. Dinamik RAM (DRAM) Bir statik RAM hücresinde verinin saklanması transistorlar aracılığıyla kimi düğümlerin 1 veya 0 seviyelerine zorlanması yoluyla olmaktadır. Dinamik RAM hücrelerinde ise veri bir veya iki kondansatör üzerinde biriktirilen yük yardımıyla saklanmaktadır. Kondansatör doluysa (üzerinde pozitif bir gerilim varsa) saklanan veri 1, boşsa (gerilimi sıfırsa) saklanan veri 0 olur. Buradaki sorun, verinin saklanması sırasında kondansatörün üzerindeki yükün transistor kaçak akımları (MOSFET lerin eşikaltı akımı ve p-n jonksiyonlarının ters yönde doyma akımları) (a) (b) Şekil 3.5 a) 4 transistorlu DRAM hücresi, b) 3 transistorlu DRAM hücresi. 4 transistorlu DRAM ın çalışması şöyledir: Birbirinin evriği iki bit hattı bulunur. Okuma da yazma da bu hatlardan, SRAM dekine benzer devrelerle ve benzer biçimde yapılır. Yazma sonrası veri saklanırken M 1 ve M 2 den birinin geçidindeki eşdeğer kondansatör boş, diğerininki ise dolu olur. Okuma sırasında M S1 ve M S2 anahtarları kapanır ve bunlardan hangisinin girişinde 1 seviyesi saklıysa o iletime girip C veya C hattını aşağıya çekerek C ve C arasında küçük bir fark oluşturur (SRAM deki gibi). Okuma sırasında bellek hücresi içindeki dolu kondansatör yükünü yitirmez. 3 transistorlu yapıda da iki bit hattı vardır, ancak biri okuma için (C oku ), diğeri yazma içindir (C yaz ). Yazma sırasında yazma devresi C yaz hattını sürer (bu sırada M W kısa devredir) ve kondansatör yazılan veriye göre doldurulur veya boşaltılır. Okuma sırasında ise (bu sırada M R kısa devredir) kondansatör üzerinde saklanmış gerilime göre M D transistoru iletimde veya kesimde olup C oku hattını aşağıya doğru çeker veya çekmez. Bu değişime göre, saklanan verinin ne olduğu anlaşılır. 3 transistorlu DRAM ve okuma/yazmada yardımcı kimi çevrebirimi Şekil 3.6 da verilmiştir [6].

Türkiye Bilişim Ansiklopedisi büyük bir kısmını yitirebilir (veya başta boşsa paylaşım sonrası dolabilir) ve böylece veri kaybedilir. İşte bu yüzden bu kondansatörün yeterince büyük olmalı ve her okuma sonrasında verinin hangi değerden boşalmış (veya dolmuş) olduğunun anlaşılıp verinin son değerine tekrar ulaştırılması işlemi yapılmalıdır. Şekil 3.6 Kimi okuma ve yazma yardımcı çevrebirimiyle birlikte 3 transistorlu DRAM. Günümüzde, lateral tümdevre üretim proseslerinde tümleştirme yoğunluğunu (dolayısıyla kırmık başına bellek kapasitesini) artıran ilerlemelere paralel olarak, yalnızca bir transistor ve bir kondansatör yardımıyla verinin dinamik olarak saklanması mümkün olmuştur. 1 transistorlu DRAM hücresi Şekil 3.7a da görülmektedir. Okuma sırasında verinin yitirilmemesi için, bu yapıda kullanılan kondansatörün büyükçe yapılması gerekir, ki bu kondansatörün yatay oluşturulması durumunda kırmıkta oldukça büyük alan kaplar. Bunun yerine lateral bir proseste zor da olsa günümüzde artık dikey kondansatörler (silisyum yapıda bir çukur açılarak) oluşturulabilmektedir. Şekil 3.7b de, günümüzde kullanılan bir DRAM prosesinde kırmık kesitinde dikey kondansatör ve MOS transistor gösterilmiştir. Ayrıca bit hattı (kondansatör üzerinden geçen polisilisyum katman) ve kelime hattı (MOSFET in WL olarak gösterilen geçidi) de görülebilmektedir. Şekil 3.7c de ise 4Gbit bellek kapasiteli bir DRAM kırmığı mikrofotoğrafı verilmiştir. Ferroelektrik RAM (FeRAM) Besleme gerilimi kesildikten sonra SRAM ve DRAM bellek hücrelerindeki veri çok kısa süre içinde yitirilir ( uçar ). Bu yüzden bunlar (volatile) belleklerdir. EEPROM a göre çok daha hızlı veri yazmanın mümkün olduğu olmayan bir alternatif, ferroelektrik RAM dir (FeRAM). Bu yapının yararlandığı devre yapısı 1 transistorlu DRAM devre yapısına çok benzer. Ancak kondansatörün dielektrik malzemesi SiO 2 yerine ferroelektik bir malzeme ve plakaları metal olduğundan özel bir tümdevre üretim prosesi gerektirmektedir. Daha önemli bir fark ise, kullanılan ferroelektrik kondansatörün histerezis özelliğinden yararlanılması, böylece diğer manyetik veri depolama araçlarındaki gibi verinin saklanmasının (besleme kesilse de) hep sürmesidir. Bu yüzden FeRAM olmayan bir RAM türüdür. Şekil 3.8 de tipik bir FeRAM yapısı görülmektedir. Devre 1 transistorlu DRAM e benzer çalışmakta, ancak PL gibi ek bir kontrol girişi (plate line : plaka hattı) ile verinin yazılması ve okunması sırasında ferroelektrik kondansatörün çalışma noktası histerezis eğrisi üzerinde ileri geri çekilmektedir. Böylece verinin kalıcı bir manyetik kayıtla saklanması sağlanmaktadır [1]. Şekil 3.8 1 transistorlu FeRAM yapısı (a) (b) (c) Şekil 3.7 a) 1 transistorlu DRAM hücresi, b) DRAM hücresi kesiti, c) DRAM kırmığı. 1 transistorlu DRAM da transistor okuma sırasında da yazma sırasında da anahtar işlevi görerek kondansatörü bit hattına bağlar. Yazma sırasında kondansatör doldurulur veya boşaltılır. Okuma sırasında ise, aynı kondansatör yükünü C hattının kapasitesiyle paylaşır. C hattının kapasitesi çok büyük olduğundan, okuma (yük paylaşımı) sırasında içerideki kondansatör yükünün 4 BELLEKLERİN KULLANIM ALANLARI Bellekler, türlerine ve başarım özelliklerine göre çeşitli uygulamalarda ve farklı biçimlerde kullanım alanı bulur. Tablo 4.1 de, buraya kadar anlatılan temel bellek türlerinin önemli özellikleri ve kullanım alanları verilmiştir. SRAM bellekler örneğin bilgisayarın cep (cache) belleği olarak kullanıldığından, bilgisayar anakartı üzerinde birkaç tanesi lehimlenmiş olarak bulunur. Genelde mikroişlemcinin performansını artırma gereksinimi cep belleği genişletme gereksiniminden çok daha önce duyulduğundan, SRAM ların artırılması ancak yeni bir anakart üstünde olur. Bilgisayar ana

Bellekler belleği ise genelde diğer konfigürasyonlar değişmeden de artırılmak (bazen de azaltılmak) istenebilir. Bunun için, ana bellek olarak kullanılan DRAM lar anakarta takılıp çıkarılabilen yapıda olmalıdırlar. Günümüzde, gelişmiş programların kişisel bilgisayarlarda yaygın kullanımı nedeniyle, geniş bir ana bellek gereksinimi oldukça fazla olduğundan, tümdevrelerin takılacağı yuvalar bile yetersiz kalmakta, anakartlar, birçok DRAM tümdevresinin bulunduğu modüllerin (Şekil 4.1a) takılabileceği 2-10 modül yuvasıyla üretilmektedir. Tablo 4.1 Temel bellek türlerinin önemli özellikleri ve kullanım alanlarına ilişkin veriler[1,6]. RAM ROM SRAM DRAM FeRAM EPROM EEPROM FLASH okuma hızı çok hızlı hızlı hızlı hızlı hızlı hızlı yazma hızı çok hızlı hızlı Hızlı yavaş çok yavaş yavaş bellek yoğunluğu bit başına fiyat düşük yüksek yüksek yüksek düşük yüksek yüksek düşük düşük düşük yüksek düşük Şekil-4.1 a) DRAM modülü, b) SRAM kart bellek, c) EPROM, d) Flash kart bellek (SD card). Büyük kapasiteli olmayan bellek gerektiren ama yazma hızının daha az kritik olduğu ama uygulamalarda (dijital fotoğraf makineleri), takılıp çıkarılabilen SD flash bellek kartı (Şekil 4.1d) yaygın olarak kullanılır. veri luğu değil değil değil değil KAYNAKÇA Sistemde yazma Güç tüketimi Veri tazeleme Kullanım alanı örneği yazılabilir yazılabilir yazılabilir yazılamaz yazılabilir yazılabilir orta yüksek yüksek düşük düşük düşük gerekmez gerekir gerekmez gerekmez gerekmez gerekmez cep (cache) bellek ana bellek akıllı kartlar, dijital kameralar oyun makinaları elektronik kimlik kartı bellek Diğer sayısal uygulamalar (oyun makineleri, avuçiçi bilgisayarlar, vb.) gibi benzer biçimde ek bellek desteğine gereksinim duyulabilecek uygulamalar için, yine birkaç tümdevrenin sıkıştırılmış biçimde yer aldığı SRAM bellek kartları kullanılır (Şekil 4.1b). Birçok uygulamada yaygın olarak kullanılanan EEPROM tümdevrelerinin üzerinde, morötesi ışıkla silinebilmesi için küçük bir cam pencere olur (Şekil 4.1c). Silmenin ardından programlama sonrasında bu pencere ışık geçirmeyen bir etiketle kapatılır. kartı 1. B. Prince, Emerging Memories - Technologies and Trends, Kluwer Academic Publishers, Massachusetts, 2002. 2. B. Prince, Semiconductor Memories - A Handbook of Design, Manufacture, and Application, John Wiley & Sons, Second Edition, Chichester, 1996. 3. A. S. Sedra & K. C. Smith, Microelectronic Circuits, Oxford University Press, Fourth Edition, New York, 1998. 4. R. L. Geiger, P. E. Allen & N. R. Strader, VLSI Design Techniques for Analog and Digital Circuits, McGraw-Hill, New York, 1990. 5. P. Cappeletti, C. Golla, P. Olivo & E. Zanoni, Flash Memories, Kluwer Academic Publishers, Third Printing, Massachusetts, 2001. 6. S.-M. Kang & Y. Leblebici, CMOS Digital Intregrated Circuits - Analysis and Design, McGraw- Hill, Third Edition, NewYork, 2003. Yazarlar Ali Toker Ali Zeki İstanbul Teknik Üniversitesi Elektrik-Elektronik Fakültesi Elektronik ve Haberleşme Mühendisliği Bölümü