SAYISAL VLSI SİSTEM TASARIM AKIŞI

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "SAYISAL VLSI SİSTEM TASARIM AKIŞI"

Transkript

1 SAYISAL VLSI SİSTEM TASARIM AKIŞI 1 Tasarım Öncesi: Ürünle ilgili bilgilerin olgunlaştırılması: kullanım yeri/amacı? yıllık gereksinim (sayı)? teknik gereksinimler/özellikler (spec.)? Fizibilite çalışması: time-to-market? tasarımda kaç kişi çalışacak? tasarım/test araçları + maliyetleri? hangi teknoloji / hangi üretimevi?

2 Tasarım Aşaması: 2 sistemin genel özellikleri? (f CLK, RESET işareti,...) fonksiyonel alt bloklara ayrıştırma ( High Perf. / Ordinary Perf.) tasarım yöntemi? yüksek performanslı sıradan performanslı alt bloklar alt bloklar tranzistor kapı HDL (kod) sentezleme ve düzeyi düzeyi düzeyi + kapı düzeyi tasarım tasarım tasarım simülasyon (şema, serim, (kapı düzeyi şema, (kod yazma, analog sim., sayısal simülasyon) sayısal sim.) sayısal karakt.) alt blokların şema düzeyinde birleştirilmesi (Sistem oluşturma) sistem düzeyi sayısal (ve/veya gerekirse mixed-mode) simülasyon

3 yerleşim + arabağlantılar (Place & Route: parazitikler katılmış olur) serim sonrası simülasyon (post-layout simulation) prototip üretimi sağlam prototipler üzerinde testler seri üretim öncesi rötuş seri üretim Tasarım Sınama Yöntemleri: - DRC (Design Rule Checking) - LVS (Layout vs. Schematic) - Extraction (Çıkarım) - Post-layout simulation (Serim sonrası simülasyon) Sistem Sınama Yöntemleri: - Boundary scan - JTAG - IDDQ - BIST (Built-in test) 3

4 CMOS Devrenin giriş ve çıkışlarını tanımla (devre spec.leri) TÜMDEVRE TASARIM El hesabı ve devre şemaları SÜRECİ AKIŞI Devre simülasyonu Devre spec.leri sağlıyor mu? Hayır 4 Evet Serim Parazitikleri katarak tekrar simülasyon Devre spec.leri sağlıyor mu? Hayır Evet Prototip üretimi Test ve değerlendirme Devre spec.leri Hayır sağlıyor mu? Hayır (fab. sorunu) (spec. sorunu) Evet ÜRETİM

5 HDL : Donanım Tanımlama Dili 5 programlama dili... devre uç ve tanım bağıntıları kodlanır, yani sözle tanımlanır VHDL ile tasarım: düzgün kolay, hızlı güvenli teknolojiden bağımsız (tabii daha çok sayısal tasarımda kullanılıyor) Analog tasarımda ancak kaba modellemede (sistemin modelini kurma) yararlanılan HDL türleri vardır. Sayısal: VHDL, Verilog HDL (sayısal simülatörde çalışırlar) Analog: AVHDL, AVerilog, AHDL (analog simülatörde çalışırlar)

6 Sayısal tasarımda HDL kullanılıyorsa akış şöyle olur: davranışsal kod yazma simülasyon sentezleme (standart hücre kitaplığı kullanır) otomatik P&R ile sistemin seriminin oluşturulması (parazitikler görünür) serim sonrası simülasyon Sayısal simülatörler / sentezleyiciler: Sayısal sistemler analog simülasyon için fazla büyük!.. Sayısal simülatör lojik işlevi sınar (kapı ve yük temelli gecikmeler dahil) Sayısal sentezleyici HDL kodunu lojik işleve (Register transfer logic: RTL) ve sonra - beklenen performans koşullarını sağlayacak biçimde sayısal hücrelerden oluşan fiziksel sisteme dönüştürür. Standart hücreler: Sınanmış, garantili fonksiyonel bloklar... ( Evirici, AND, OR, NAND, NOR, XOR, XNOR, D-FF, JK-FF, SR-FF, MUX, DEMUX, yarı toplayıcı, tam toplayıcı, tampon, I/O padleri,... ) tasarımı güvenli kılar! 6

7 7 Üretici firma herbir teknolojisi için, herbir bloktan birkaç versiyon sağlar (standart hücre kütüphanesi). Tasarımda, performans beklentisine uygun bloklar seçilir (yüksek hız, düşük güç tüketimi, küçük alan, vd.). (Analog standart hücreler de oldukça yaygındır) Hücrenin hiyerarşi aşamaları: sembol abstract serim serim aşamasında: standart boy V DD üstte, GND altta (yatay hatlara bağlı) kuanta katı boy giriş, çıkış, kontrol, vb. uçları dikey hatlara bağlı Performans kritikse, sayısal standart hücrelerden oluşmuş devrenin tranzistor düzeyi analog simülasyonu da öngörülebilir.

8 8 EVİRİCİ STANDART HÜCRELERİNİN BİR VERİ KATARINA BAĞLANTI ŞEKLİ EVİRİCİ STANDART HÜCRE SERİMİ ÖRNEĞİ ve FARKLI HİYERARŞİLERİN GÖSTERİMİ STANDART HÜCRELERİN V DD ve GND BAĞLANTI BİÇİMİ STANDART HÜCRE YAKLAŞIMLI SAYISAL BİR KIRMIK

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Programlanabilir Lojik Basit Programlanabilir Lojik Cihazlar (Simple Programmable Logic Device - SPLD) ** PAL (Programmable

Detaylı

Programlanabilir Devreler

Programlanabilir Devreler Programlanabilir Devreler Testbench & Simülasyon İçerik Tasarlamış olduğumuz sayısal sistemlerin fonksiyonel olarak istenildiği gibi gerçekleştirdiğini doğrulamak gerekir. Verilog ve VHDL gibi donanım

Detaylı

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI Yard. Doç. Dr. Özdemir ÇETİN Sunu Başlıklar kları 1. Amaç 2. Temel Bilgiler 1. SoC (System-On-Chip) nedir? 2. SoC donanım araçları ASIC (Application

Detaylı

BÖLÜM 2 SAYI SİSTEMLERİ

BÖLÜM 2 SAYI SİSTEMLERİ İÇİNDEKİLER BÖLÜM 1 GİRİŞ 1.1. Lojik devre içeriği... (1) 1.1.1. Kodlama, Kod tabloları... (2) 1.1.2. Kombinezonsal Devre / Ardışıl Devre... (4) 1.1.3. Kanonik Model / Algiritmik Model... (4) 1.1.4. Tasarım

Detaylı

Digital Design HDL. Dr. Cahit Karakuş, February-2018

Digital Design HDL. Dr. Cahit Karakuş, February-2018 Digital Design HDL Dr. Cahit Karakuş, February-2018 NOT, AND, and OR Gates NAND and NOR Gates DeMorgan s Theorem Exclusive-OR (XOR) Gate Multiple-input Gates Basic Logic Gates and Basic Digital Design

Detaylı

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur.

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur. HDL Dilleri HDL(Donanım Tanımlama Dili); tasarımın, HDL dillerinden her hangi bir tanesinin kullanılarak yapılmasıdır. HDL bir donanım parçasını modellemek için kullanılan yazılım dilidir. VHDL ile Verilog

Detaylı

ERCİYES ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTUSÜ BİLGİSAYAR MÜHENDİSLİĞİ ANABİLİMDALI. I. GENEL BİLGİLER Ders Adı

ERCİYES ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTUSÜ BİLGİSAYAR MÜHENDİSLİĞİ ANABİLİMDALI. I. GENEL BİLGİLER Ders Adı BİM618 Evrimsel Algoritmalar Öğretim Üyesi Prof. Dr. Derviş Karaboğa Görüşme Saatleri 8.00-17.00 E posta: karaboga@erciyes.edu.tr http://abis.erciyes.edu.tr/sorgu.aspx?sorgu=236 Erciyes Üniversitesi, Mühendislik

Detaylı

VHDL. Ece Olcay Güneş & S. Berna Örs

VHDL. Ece Olcay Güneş & S. Berna Örs VHDL Ece Olcay Güneş & S. Berna Örs Giriş VHDL VHSIC Hardware Description Language in kısaltmasıdır. VHSIC Very High Speed Integrated Circuit in kısaltmasıdır. VHDL dışında da pekçok donanım tasarlama

Detaylı

İnönü Üniversitesi Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü

İnönü Üniversitesi Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü İnönü Üniversitesi Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü 00223 - Mantık Devreleri Tasarımı Laboratuar Föyleri Numara: Ad Soyad: Arş. Grv. Bilal ŞENOL Devre Kurma Alanı Arş. Grv. Bilal ŞENOL

Detaylı

SAYI SİSTEMLERİ ve BOOLE CEBİRİ 1+1=1 ÖĞR.GÖR. GÜNAY TEMÜR - TEKNOLOJİ F. / BİLGİSAYAR MÜH.

SAYI SİSTEMLERİ ve BOOLE CEBİRİ 1+1=1 ÖĞR.GÖR. GÜNAY TEMÜR - TEKNOLOJİ F. / BİLGİSAYAR MÜH. SAYI SİSTEMLERİ ve BOOLE CEBİRİ 1+1=1 Ders Konusu 1854 yılında George Boole tarafından özellikle lojik devrelerde kullanılmak üzere ortaya konulmuş bir matematiksel sistemdir. İkilik Sayı Sistemi Çoğu

Detaylı

Verilog HDL e Giriş Bilg. Yük. Müh. Selçuk BAŞAK

Verilog HDL e Giriş Bilg. Yük. Müh. Selçuk BAŞAK Verilog HDL e Giriş Bilg. Yük. Müh. Selçuk BAŞAK SelSistem Bilgi ve İletişim Teknolojileri www.selsistem.com.tr Donanım Tanımlama Dilleri - HDL İlk olarak 1977 yılında, ISP(Instruction Set Processor) -

Detaylı

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü MANTIK DEVRELERİ TASARIMI LABORATUVARI DENEY FÖYLERİ 2018 Deney 1: MANTIK KAPILARI VE

Detaylı

25. Aşağıdaki çıkarma işlemlerini doğrudan çıkarma yöntemi ile yapınız.

25. Aşağıdaki çıkarma işlemlerini doğrudan çıkarma yöntemi ile yapınız. BÖLÜM. Büyüklüklerin genel özellikleri nelerdir? 2. Analog büyüklük, analog işaret, analog sistem ve analog gösterge terimlerini açıklayınız. 3. Analog sisteme etrafınızdaki veya günlük hayatta kullandığınız

Detaylı

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK Mikroişlemci HAFTA 1 HAFIZA BİRİMLERİ Program Kodları ve verinin saklandığı bölüm Kalıcı Hafıza ROM PROM EPROM EEPROM FLASH UÇUCU SRAM DRAM DRRAM... ALU Saklayıcılar Kod Çözücüler... GİRİŞ/ÇIKIŞ G/Ç I/O

Detaylı

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ SAYISAL DEVRE UYGULAMALARI Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ İÇİNDEKİLER ŞEKİLLER TABLOSU... vi MALZEME LİSTESİ... viii ENTEGRELER... ix 1. Direnç ve Diyotlarla Yapılan

Detaylı

LAB 0 : Xilinx ISE Kullanımı

LAB 0 : Xilinx ISE Kullanımı LAB 0 : Xilinx ISE Kullanımı 1. Proje Yaratma a. Xilinx ISE programını açınız. b. File à New Project menüsünü seçiniz. New Project Wizard diyalog penceresi açılacaktır. c. New Project Wizard diyalog penceresinde

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ Yrd. Doç. Dr. Mustafa H.B. UÇAR 1 2. HAFTA Yrd. Doç. Dr. Mustafa Hikmet Bilgehan UÇAR Entegre Yapıları Lojik Kapılar Lojik

Detaylı

VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması

VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması 6 th International Advanced Technologies Symposium (IATS 11), 16-18 May 2011, Elazığ, Turkey VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması S. Uzun 1, M. R. Canal 2, M.

Detaylı

Bölüm 4 Ardışıl Lojik Devre Deneyleri

Bölüm 4 Ardışıl Lojik Devre Deneyleri Bölüm 4 Ardışıl Lojik Devre Deneyleri DENEY 4-1 Flip-Floplar DENEYİN AMACI 1. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop

Detaylı

NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ

NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ DENEY 1 Elektronik devrelerde sık sık karşımıza çıkan

Detaylı

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme PROGRAMIN ADI DERSIN KODU VE ADI DERSIN ISLENECEGI DÖNEM HAFTALIK DERS SAATİ DERSİN SÜRESİ ENDÜSTRİYEL ELEKTRONİK MİK.İŞLEMCİLER/MİK.DENETLEYİCİLER-1 2. Yıl, III. Yarıyıl (Güz) 4 (Teori: 3, Uygulama: 1,

Detaylı

SAYISAL DEVRE TASARIMI LABORATUVARI DENEY 1: TEMEL LOJİK KAPI KARAKTERİSTİKLERİNİN ÖLÇÜMÜ

SAYISAL DEVRE TASARIMI LABORATUVARI DENEY 1: TEMEL LOJİK KAPI KARAKTERİSTİKLERİNİN ÖLÇÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY 1: TEMEL LOJİK KAPI KARAKTERİSTİKLERİNİN ÖLÇÜMÜ DENEYİN AMACI 1. Temel lojik kapı sembollerini ve karakteristiklerini anlamak. GENEL BİLGİLER TTL kapıların karakteristikleri,

Detaylı

Elektron tüplerinden tek kırmıkta kamera ya... DRAM yapılarında tek kırmıkta Gigabit devri!..

Elektron tüplerinden tek kırmıkta kamera ya... DRAM yapılarında tek kırmıkta Gigabit devri!.. Elektron tüplerinden tek kırmıkta kamera ya... DRAM yapılarında tek kırmıkta Gigabit devri!.. Mikroişlemciler: yüzmilyonlarca transistor tek kırmıkta... Nereye kadar?.. Nasıl?.. Tümdevre tasarımı artık

Detaylı

Güz Y.Y. Lojik Devre Laboratuvarı Laboratuvar Çalışma Düzeni

Güz Y.Y. Lojik Devre Laboratuvarı Laboratuvar Çalışma Düzeni 2010-2011 Güz Y.Y. Lojik Devre Laboratuvarı Laboratuvar Çalışma Düzeni Deneyi hangi grubun hangi tarihte ve saatte yapacağı internet sayfasında (http://www.ce.yildiz.edu.tr/myindex.php?id=54) duyurulmuştur.

Detaylı

SİSTEM ANALİZİ VE TASARIMI

SİSTEM ANALİZİ VE TASARIMI SİSTEM ANALİZİ VE TASARIMI BİLGİ SİSTEMİ GELİŞTİRME SÜRECİ Sistem Geliştirme Süreci ve Modelleri Sistem Geliştirme Yaşam Döngüsü Bilgi sistemlerinin geliştirilmesi için izlenen sürece Sistem Geliştirme

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SYISL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı ÖLÜM 3 Mantık Geçitleri Değil (Inverter) Geçidi İnverter geçidi oolean NOT işlemini yapar. Giriş YÜKSEK olduğunda çıkışını DÜŞÜK, giriş DÜŞÜK

Detaylı

Bölüm 6 Multiplexer ve Demultiplexer

Bölüm 6 Multiplexer ve Demultiplexer Bölüm 6 Multiplexer ve Demultiplexer DENEY 6- Multiplexer Devreleri DENEYİN AMACI. Multiplexer ın çalışma prensiplerini anlamak. 2. Lojik kapıları ve TTL tümdevre kullanarak multiplexer gerçekleştirmek.

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 4 Programlanabilir Mantık Elemanları Programlanabilir mantık aygıtları (Programmable Logic Devices), PLD mantık geçitleri ve flip-floplar

Detaylı

Dr. Musa KILIÇ Öğretim Görevlisi http://kisi.deu.edu.tr/musa.kilic

Dr. Musa KILIÇ Öğretim Görevlisi http://kisi.deu.edu.tr/musa.kilic Dr. Musa KILIÇ Öğretim Görevlisi http://kisi.deu.edu.tr/musa.kilic BİLGİSAYAR DONANIM Donanım birimleri ekran, klavye, harddisk, ram YAZILIM Yazılımlar ise bilgisayarın donanım yapısını kullanılır hale

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ Yrd. Doç. Dr. Mustafa Hikmet Bilgehan UÇAR 1 5. HAFTA BİLEŞİK MANTIK DEVRELERİ (COMBINATIONAL LOGIC) Veri Seçiciler (Multiplexer)

Detaylı

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi Buse Ustaoğlu Berna Örs Yalçın İçerik Giriş Çalişmanın Amacı Mikroişlemciye Hata Enjekte Etme Adımları Hata Üreteci Devresi

Detaylı

DENEY 2-1 VEYA DEĞİL Kapı Devresi

DENEY 2-1 VEYA DEĞİL Kapı Devresi DENEY 2-1 VEYA DEĞİL Kapı Devresi DENEYİN AMACI 1. VEYA DEĞİL kapıları ile diğer lojik kapıların nasıl gerçekleştirildiğini anlamak. GENEL BİLGİLER VEYA DEĞİL kapısının sembolü, Şekil 2-1 de gösterilmiştir.

Detaylı

HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları

HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları Ders Adı Ders Kodu Dönemi Ders Saati Uygulama Saati Laboratuar Saati Kredi AKTS HDL ile Gelişmiş Sayısal Tasarım EE 425 Her İkisi 2 2 0 3 5 Ön Koşul

Detaylı

5. LOJİK KAPILAR (LOGIC GATES)

5. LOJİK KAPILAR (LOGIC GATES) 5. LOJİK KPILR (LOGIC GTES) Dijital (Sayısal) devrelerin tasarımında kullanılan temel devre elemanlarına Lojik kapılar adı verilmektedir. Her lojik kapının bir çıkışı, bir veya birden fazla girişi vardır.

Detaylı

EEM122SAYISAL MANTIK SAYICILAR. Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol

EEM122SAYISAL MANTIK SAYICILAR. Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol EEM122SAYISAL MANTIK BÖLÜM 6: KAYDEDİCİLER VE SAYICILAR Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol KAYDEDİCİLER VE SAYICILAR Flip-flopkullanan devreler fonksiyonlarına göre iki guruba

Detaylı

BİL 201 Boole Cebiri ve Temel Geçitler (Boolean Algebra & Logic Gates) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi

BİL 201 Boole Cebiri ve Temel Geçitler (Boolean Algebra & Logic Gates) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi BİL 201 Boole Cebiri ve Temel Geçitler (Boolean Algebra & Logic Gates) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi Temel Tanımlar Kapalılık (closure) Birleşme özelliği (associative law) Yer değiştirme

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SYISL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı ÖLÜM ileşimsel Mantık Devreleri Yarım Toplayıcı İkili toplama işleini yapan devreye yarım toplayıcı adı verilir. Yarım toplayıcı girişlerine

Detaylı

Simatic PLC Eğitim Kataloğu 2013 Sayfa -1

Simatic PLC Eğitim Kataloğu 2013 Sayfa -1 Simatic PLC Eğitim Kataloğu 2013 Sayfa -1 Global Otomasyon San. Ve Tic. Ltd. Şti Yukarı Mah. Atatürk Bulvarı Yaman Apt. No:7/4 Kartal İstanbul Tel: 0216-3895250 Fax: 0216-3898275 Kurslarımız Simatic S5

Detaylı

Deney 8: ALU da Aritmetik Fonksiyonlar

Deney 8: ALU da Aritmetik Fonksiyonlar Deney 8: ALU da Aritmetik Fonksiyonlar ALU da Aritmetik Fonksiyonlar Kullanılan Elemanlar 1x74LS181 ALU Entegresi, 4 x switch, 4 x 4.7 kohm 4 x 330 ohm, 4 x Led Giriş (Deney-7) Tipik olarak, bir ALU, birkaç

Detaylı

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ İçerik Mikroişlemci Sistem Mimarisi Mikroişlemcinin yürüttüğü işlemler Mikroişlemci Yol (Bus) Yapısı Mikroişlemci İç Veri İşlemleri Çevresel Cihazlarca Yürütülen İşlemler

Detaylı

DENEY 4-1 Kodlayıcı Devreler

DENEY 4-1 Kodlayıcı Devreler DENEY 4-1 Kodlayıcı Devreler DENEYİN AMACI 1. Kodlayıcı devrelerin çalışma prensibini anlamak. GENEL BİLGİLER Kodlayıcı, bir ya da daha fazla girişi alıp, belirli bir çıkış kodu üreten kombinasyonel bir

Detaylı

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz.

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz. Girilen iki sayının birbiriyle karşılaştırılıp sonucunda büyük, küçük veya eşit sinyallerinin verileceği bir programı VHDL dili ile yazınız. A : karşılaştırılacak 1.sayıdır. 8 bitlik giriştir. B : karşılaştırılacak

Detaylı

ELM320- ENTEGRE DEVRE TASARIMI

ELM320- ENTEGRE DEVRE TASARIMI ELM320- ENTEGRE DEVRE TASARIMI METODOLOJİLER GİRİŞ CMOS Yapısı + V DD V H1 0 V in S G G S D D Q 2 V H1 Q 1 V o 0 CMOS Tersleyici Transfer Karakteristiği 2 Neden CMOS? Gerilimin +V DD den 0V a düştüğü veya

Detaylı

1. Temel lojik kapıların sembollerini ve karakteristiklerini anlamak. 2. Temel lojik kapıların karakteristiklerini ölçmek.

1. Temel lojik kapıların sembollerini ve karakteristiklerini anlamak. 2. Temel lojik kapıların karakteristiklerini ölçmek. DENEY Temel Lojik Kapıların Karakteristikleri DENEYİN AMACI. Temel lojik kapıların sembollerini ve karakteristiklerini anlamak.. Temel lojik kapıların karakteristiklerini ölçmek. GENEL İLGİLER Temel lojik

Detaylı

Mantık fonksiyonlarından devre çizimi 6 Çizilmiş bir devrenin mantık fonksiyonunun bulunması

Mantık fonksiyonlarından devre çizimi 6 Çizilmiş bir devrenin mantık fonksiyonunun bulunması DERSİN ADI BÖLÜM PROGRAM DÖNEMİ DERSİN DİLİ DERS KATEGORİSİ ÖN ŞARTLAR SÜRE VE DAĞILIMI KREDİ DERSİN AMACI ÖĞRENME ÇIKTILARI VE YETERLİKLER DERSİN İÇERİĞİ VE DAĞILIMI (MODÜLLER VE HAFTALARA GÖRE DAĞILIMI)

Detaylı

Deney 6: Ardışıl Devre Analizi

Deney 6: Ardışıl Devre Analizi Deney 6: Ardışıl Devre Analizi Genel Bilgiler: Lojik devre derslerinde de görüldüğü gibi bir ardışıl devrenin analizi matematiksel model, durum tablosu veya durum diyagramı yardımıyla üç farklı biçimde

Detaylı

Katlı Giriş Geçitleri

Katlı Giriş Geçitleri Katlı Giriş Geçitleri Eviriciler ve tamponlar tek-girişli geçit devresi için olasılıkları çıkartır. Tamponlamak yada evirmekten başka tek mantık sinyali ile daha fazla ne yapılabilir? Daha fazla mantık

Detaylı

Temel Flip-Flop ve Saklayıcı Yapıları. Mikroişlemciler ve Mikrobilgisayarlar

Temel Flip-Flop ve Saklayıcı Yapıları. Mikroişlemciler ve Mikrobilgisayarlar Temel Flip-Flop ve Saklayıcı Yapıları 1 Sayısal alga Şekilleri 1 2 4 3 1. Yükselme Zamanı 2. Alçalma Zamanı 3. Sinyal Genişliği 4. Genlik (Amplitude) 2 Periot (T) : Tekrar eden bir sinyalin arka arkaya

Detaylı

SAYISAL İŞARET VE GEÇİŞ SÜRELERİNİN ÖLÇÜLMESİ

SAYISAL İŞARET VE GEÇİŞ SÜRELERİNİN ÖLÇÜLMESİ DENEY 1 SAYISAL İŞARET VE GEÇİŞ SÜRELERİNİN ÖLÇÜLMESİ KAYNAKLAR Analysis and Design of Digital Integrated Circuits, Hodges and Jackson, sayfa 6-7 Experiments in Microprocessors and Digital Systems, Douglas

Detaylı

03.03.2014 VERILOG. Modüller

03.03.2014 VERILOG. Modüller VERILOG Modüller Devre bileşenleri module içinde tasarlanır. Modüller hem yapısal hem de davranışsal ifadeleri içerebilir. Yapısal ifadeler lojik kapılar, sayaçlar ve mikroişlemciler gibi devre bileşenlerini

Detaylı

ELN1001 BİLGİSAYAR PROGRAMLAMA I

ELN1001 BİLGİSAYAR PROGRAMLAMA I ELN1001 BİLGİSAYAR PROGRAMLAMA I DEPOLAMA SINIFLARI DEĞİŞKEN MENZİLLERİ YİNELEMELİ FONKSİYONLAR Depolama Sınıfları Tanıtıcılar için şu ana kadar görülmüş olan özellikler: Ad Tip Boyut Değer Bunlara ilave

Detaylı

DİCLE ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ EEM309 SAYISAL ELEKTRONİK LABORATUARI

DİCLE ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ EEM309 SAYISAL ELEKTRONİK LABORATUARI DİCLE ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ EEM39 SAYISAL ELEKTRONİK LABORATUARI Deney No Deneyin Adı Deney Grubu Deneyi Yapanın Numarası Adı Soyadı İmzası Deneyin

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 8. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar MULTIPLEXERS (VERİ SEÇİCİLER), ÜÇ DURUMLU BUFFERS, DECODERS (KOD ÇÖZÜCÜLER) BELLEK ELEMANLARI 2 8.2.

Detaylı

Bölüm 4 Aritmetik Devreler

Bölüm 4 Aritmetik Devreler Bölüm 4 Aritmetik Devreler DENEY 4- Aritmetik Lojik Ünite Devresi DENEYİN AMACI. Aritmetik lojik birimin (ALU) işlevlerini ve uygulamalarını anlamak. 2. 748 ALU tümdevresi ile aritmetik ve lojik işlemler

Detaylı

DENEY 8- Flip Flop ve Uygulamaları. Amaç: - Flip Flop çalışma mantığını kavramak

DENEY 8- Flip Flop ve Uygulamaları. Amaç: - Flip Flop çalışma mantığını kavramak DENEY 8- Flip Flop ve Uygulamaları Amaç: - Flip Flop çalışma mantığını kavramak Deneyin Yapılışı: - Deney bağlantı şemasında verilen devreleri uygun elemanlarla kurunuz. Entegrenin besleme ve GND bağlantılarını

Detaylı

18. FLİP FLOP LAR (FLIP FLOPS)

18. FLİP FLOP LAR (FLIP FLOPS) 18. FLİP FLOP LAR (FLIP FLOPS) Flip Flop lar iki kararlı elektriksel duruma sahip olan elektronik devrelerdir. Devrenin girişlerine uygulanan işarete göre çıkış bir kararlı durumdan diğer (ikinci) kararlı

Detaylı

EEM 419-Mikroişlemciler Güz 2017

EEM 419-Mikroişlemciler Güz 2017 EEM 419-Mikroişlemciler Güz 2017 Katalog Bilgisi : EEM 419 Mikroişlemciler (3+2) 4 Bir mikroişlemci kullanarak mikrobilgisayar tasarımı. Giriş/Çıkış ve direk hafıza erişimi. Paralel ve seri iletişim ve

Detaylı

BİL 201 Geçit düzeyinde yalınlaştırma (Gate-Level Minimization) Hacettepe Üniversitesi Bilgisayar Müh. Bölümü

BİL 201 Geçit düzeyinde yalınlaştırma (Gate-Level Minimization) Hacettepe Üniversitesi Bilgisayar Müh. Bölümü BİL 2 Geçit düzeyinde yalınlaştırma (Gate-Level Minimization) Hacettepe Üniversitesi Bilgisayar Müh. Bölümü Boole Cebiri ve Temel Geçitler Boole cebiri (Boolean algebra ) Boole işlevleri (Boolean functions)

Detaylı

DENEY 3-1 Kodlayıcı Devreler

DENEY 3-1 Kodlayıcı Devreler DENEY 3-1 Kodlayıcı Devreler DENEYİN AMACI 1. Kodlayıcı devrelerin çalışma prensibini anlamak. GENEL BİLGİLER Kodlayıcı, bir ya da daha fazla girişi alıp, belirli bir çıkış kodu üreten kombinasyonel bir

Detaylı

Bölüm 19 FBs-4DA/2DA Analog Çıkış Modülü

Bölüm 19 FBs-4DA/2DA Analog Çıkış Modülü Bölüm 19 FBs-4DA/2DA Analog Çıkış Modülü FBs-4DA ve FBs-2DA FBs serisinin analog çıkış modülerlerindendir. Bunlar sırasıyla 4 ve 2 kanallı 14-bitlik D/A çıkış sağlarlar. Farklı jumper ayarları ile çeşitli

Detaylı

BİL 201 Birleşimsel Mantık (Combinational Logic) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi

BİL 201 Birleşimsel Mantık (Combinational Logic) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi BİL 201 Birleşimsel Mantık (Combinational Logic) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi Birleşimsel Devreler - Çözümlenmesi - Tasarımı Bu derste... Birleşimsel Devre Örnekleri - Yarım Toplayıcı

Detaylı

Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları

Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları 2018-2019 Güz Yarıyılı Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları Dr.Öğr.Üyesi Anıl Çelebi OV7670 kamera üzerinden VGA üzerinden görüntü aktarma ve video sinyal işleme. Bu çalışmada OV7670 sensöründen

Detaylı

BAHAR DÖNEMİ MANTIK DEVRELERİ LABORATUVARI DENEY FÖYÜ BALIKESİR ÜNİVERSİTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ

BAHAR DÖNEMİ MANTIK DEVRELERİ LABORATUVARI DENEY FÖYÜ BALIKESİR ÜNİVERSİTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ 2017-2018 BAHAR DÖNEMİ MANTIK DEVRELERİ LABORATUVARI DENEY FÖYÜ BALIKESİR ÜNİVERSİTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ KL-22001 Ana Modül DC Güç Kaynağı: Modüllere yapılacak olan 5V ve/veya 12V beslemeler

Detaylı

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ EĞİTİM-ÖĞRETİM PLANI ( Normal) D KODU DERSLER T U KR AKTS D KODU DERSLER T U KR AKTS EEM206

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ EĞİTİM-ÖĞRETİM PLANI ( Normal) D KODU DERSLER T U KR AKTS D KODU DERSLER T U KR AKTS EEM206 EK-1 ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ 2018-2019 EĞİTİM-ÖĞRETİM PLANI ( Normal) D.KODU DERSLER T U KR AKTS D. KODU DERSLER T U KR AKTS FİZ101 FİZİK-1 3 1 4 5 FİZ102 FİZİK-2 3 1 4 5 MAT101 MATEMATİK-1

Detaylı

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER PROJE OLUŞTURMA ŞEMATİK DOSYASI OLUŞTURULMASI VERILOG DOSYASI OLUŞTURULMASI TEST DOSYASI OLUŞTURULMASI XILINX ISE SIMULATOR İLE BENZETİM YAPILMASI PİN

Detaylı

Datasoft Yazılım Personel Bordrosu Programında Yapılan Güncellemeler Kullanıcı Kılavuzu ( )

Datasoft Yazılım Personel Bordrosu Programında Yapılan Güncellemeler Kullanıcı Kılavuzu ( ) İçindekiler Tahakkuk İşlemleri... 2 Personel Bordrosu Muhasebe Entegrasyonu... 3 Muhasebe Fişi Parametreleri... 3 Muhtasar Beyannamede Belirtilen Türlere Uygun Olarak, Gelir Vergisi Kesintilerinin Tanımlanması...

Detaylı

Şekil XNOR Kapısı ve doğruluk tablosu

Şekil XNOR Kapısı ve doğruluk tablosu DENEY 2: KARŞILAŞTIRICILAR Deneyin Amaçları KarĢılaĢtırıcıların kavramını, içeriğini ve mantığını öğrenmek. Ġki bir karģılaģtırıcı uygulaması yaparak sonuçları deneysel olarak doğrulamak. Deney Malzemeleri

Detaylı

FPGA ile Gömülü Sistem Tasarımı (EE 525) Ders Detayları

FPGA ile Gömülü Sistem Tasarımı (EE 525) Ders Detayları FPGA ile Gömülü Sistem Tasarımı (EE 525) Ders Detayları Ders Adı Ders Kodu Dönemi Ders Saati Uygulama Saati Laboratuar Saati Kredi AKTS FPGA ile Gömülü Sistem Tasarımı EE 525 Her İkisi 3 0 0 0 7.5 Ön Koşul

Detaylı

DENEY 3a- Yarım Toplayıcı ve Tam Toplayıcı Devresi

DENEY 3a- Yarım Toplayıcı ve Tam Toplayıcı Devresi DENEY 3a- Yarım Toplayıcı ve Tam Toplayıcı Devresi DENEYİN AMACI 1. Aritmetik birimdeki yarım ve tam toplayıcıların karakteristiklerini anlamak. GENEL BİLGİLER Toplama devreleri, Yarım Toplayıcı (YT) ve

Detaylı

PROGRAMLAMAYA GİRİŞ FONKSİYONLAR

PROGRAMLAMAYA GİRİŞ FONKSİYONLAR PROGRAMLAMAYA GİRİŞ FONKSİYONLAR Fonksiyonlar C programlama dili fonksiyon olarak adlandırılan alt programların birleştirilmesi kavramına dayanır. Bir C programı bir ya da daha çok fonksiyonun bir araya

Detaylı

EGE Üniversitesi Mühendislik Fakültesi Bilgisayar Müh. Bölümü 2013-2014 Öğretim Yılı Lisans Tezi Önerileri

EGE Üniversitesi Mühendislik Fakültesi Bilgisayar Müh. Bölümü 2013-2014 Öğretim Yılı Lisans Tezi Önerileri EGE Üniversitesi Mühendislik Fakültesi Bilgisayar Müh. Bölümü 2013-2014 Öğretim Yılı Lisans Tezi Önerileri Danışman Öğretim Üyesi: Kasım Sinan YILDIRIM 1) Tez Başlığı: Kablosuz Algılayıcı Ağlarında Hareketli

Detaylı

Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü

Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü FBs-4A2D, FATEK FBs'nin PLC serilerinin analog I/O modullerinden biridir. Analog çıkışları için 2 kanallı 14bitlik D/A çıkışı sağlar. Farklı jumper ayarlarına

Detaylı

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL Bu dönemki Bil264L dersinde kullanacağımız Xilinx ISE Web 14.7 programında nasıl proje oluşturacağımız, oluşturduğumuz devreleri nasıl test edeceğimiz ve bu devreleri

Detaylı

DERS 5 PIC 16F84 PROGRAMLAMA İÇERİK. PIC 16F84 bacak bağlantıları PIC 16F84 bellek yapısı Program belleği RAM bellek Değişken kullanımı Komutlar

DERS 5 PIC 16F84 PROGRAMLAMA İÇERİK. PIC 16F84 bacak bağlantıları PIC 16F84 bellek yapısı Program belleği RAM bellek Değişken kullanımı Komutlar DERS 5 PIC 16F84 PROGRAMLAMA İÇERİK PIC 16F84 bacak bağlantıları PIC 16F84 bellek yapısı Program belleği RAM bellek Değişken kullanımı Komutlar Ders 5, Slayt 2 1 BACAK BAĞLANTILARI Ders 5, Slayt 3 PIC

Detaylı

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL Bu dönemki Bil264L dersinde kullanacağımız Xilinx ISE Web 14.7 programında nasıl proje oluşturacağımız, oluşturduğumuz devreleri nasıl test edeceğimiz ve bu devreleri

Detaylı

ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 4 DENEYİN ADI: JK, RS, T VE D TİPİ FLİP-FLOPLARIN İNCELENMESİ

ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 4 DENEYİN ADI: JK, RS, T VE D TİPİ FLİP-FLOPLARIN İNCELENMESİ ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 4 DENEYİN ADI: JK, RS, T VE D TİPİ FLİP-FLOPLARIN İNCELENMESİ Açıklamalar: Bu deneyde JK, RS, T ve D tipi flip-flop (FF) lar incelenecektir. Deney içerisinde

Detaylı

BENZERSİZ SORUNLARA BENZERSİZ ÇÖZÜMLER

BENZERSİZ SORUNLARA BENZERSİZ ÇÖZÜMLER BENZERSİZ SORUNLARA BENZERSİZ ÇÖZÜMLER EĞİTİM PROGRAMLARI MATLAB İLE MÜHENDİSLİK ÇÖZÜMLERİ Amaç: Sistem tasarım ve analizinin MATLAB dilinde kolay programlama yoluyla tekrarlanabilir yapılara oturtulması

Detaylı

1 ÜRETİM VE ÜRETİM YÖNETİMİ

1 ÜRETİM VE ÜRETİM YÖNETİMİ İÇİNDEKİLER ÖNSÖZ III Bölüm 1 ÜRETİM VE ÜRETİM YÖNETİMİ 13 1.1. Üretim, Üretim Yönetimi Kavramları ve Önemi 14 1.2. Üretim Yönetiminin Tarihisel Gelişimi 18 1.3. Üretim Yönetiminin Amaçları ve Fonksiyonları

Detaylı

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 TEMEL LOJİK ELEMANLAR VE UYGULAMALARI DENEY SORUMLUSU Arş. Gör. Erdem ARSLAN Arş. Gör.

Detaylı

DENEY 2-5 Karşılaştırıcı Devre

DENEY 2-5 Karşılaştırıcı Devre DENEY 2-5 Karşılaştırıcı Devre DENEYİN AMACI 1. Dijital karşılaştırıcıların çalışma prensiplerini ve yapısını anlamak. GENEL BİLGİLER Bir karşılaştırma yapabilmek için en az iki sayı gereklidir. En basit

Detaylı

1. DÖNEM Kodu Dersin Adı T U K. Matematik II Mathematics II (İng) Fizik I 3 2 4. Bilgisayar Programlama I (Java) Computer Programming I (Java) (İng)

1. DÖNEM Kodu Dersin Adı T U K. Matematik II Mathematics II (İng) Fizik I 3 2 4. Bilgisayar Programlama I (Java) Computer Programming I (Java) (İng) Müfredat: Mekatronik Mühendisliği lisans programından mezun olacak bir öğrencinin toplam 131 kredilik ders alması gerekmektedir. Bunların 8 kredisi öğretim dili Türkçe ve 123 kredisi öğretim dili İngilizce

Detaylı

(Fabrika Şablonu) Factory Pattern. Tasarım şablonlarının Creational (Yaratıcı) Sınıflandırmasına aittir. Fabrika(Factory) Şablonu

(Fabrika Şablonu) Factory Pattern. Tasarım şablonlarının Creational (Yaratıcı) Sınıflandırmasına aittir. Fabrika(Factory) Şablonu 1 Aşağıda açıklanan iki şablon (Factory patten and Abstract Factory pattern) Creational Tasarım şablonu sınıfındadır. Structural Tasarım şablonu sınıflandırmasındaki Bridge Şablonu olması mümkün değildir.

Detaylı

Bilgisayar Mühendisliği. Bilgisayar Mühendisliğine Giriş 1

Bilgisayar Mühendisliği. Bilgisayar Mühendisliğine Giriş 1 Bilgisayar Mühendisliği Bilgisayar Mühendisliğine Giriş 1 Mühendislik Nedir? Mühendislik, bilim ve matematiğin yararlı cihaz ve sistemlerin üretimine uygulanmasıdır. Örn: Elektrik mühendisleri, elektronik

Detaylı

TEKNİK VE MESLEK RESİM. Devre Şemaları Çizimi. Çizim yapmak, norm yazı yazmak Elektrik-elektronik devre şemalarını çizmek

TEKNİK VE MESLEK RESİM. Devre Şemaları Çizimi. Çizim yapmak, norm yazı yazmak Elektrik-elektronik devre şemalarını çizmek TEKNİK VE MESLEK RESİM Dersin Modülleri Teknik Resim Devre Şemaları Çizimi Kazandırılan Yeterlikler Çizim yapmak, norm yazı yazmak Elektrik-elektronik devre şemalarını çizmek 1 Dersin Adı Alan Meslek/Dal

Detaylı

Yazılım Mühendisliği Bölüm - 3 Planlama

Yazılım Mühendisliği Bölüm - 3 Planlama 1 Yazılım Mühendisliği Bölüm - 3 Planlama 2 3 4 Planlama 5 Yazılım geliştirme sürecinin ilk aşaması Başarılı bir proje geliştirebilmek için projenin tüm resminin çıkarılması işlemi Proje planlama aşamasında

Detaylı

FPGA Mimarisi. Bilgisayar Mimarisinde Yeni Yaklaşımlar. Mehmet AKTAŞ

FPGA Mimarisi. Bilgisayar Mimarisinde Yeni Yaklaşımlar. Mehmet AKTAŞ FPGA Mimarisi Bilgisayar Mimarisinde Yeni Yaklaşımlar Mehmet AKTAŞ 1. Giriş Sigorta Bağlantılı Teknolojiler Karşıt Sigorta Teknolojisi ROM PROM EPROM EEPROM SRAM 2. Programlanabilir Teknolojiler Programlanabilir

Detaylı

Sinirsel Benzetim ve NSL. İlker Kalaycı 06, 2008

Sinirsel Benzetim ve NSL. İlker Kalaycı 06, 2008 Sinirsel Benzetim ve NSL İlker Kalaycı 06, 2008 Gündem Yapay Sinir Ağları Benzetim Benzetim Dilleri Sinirsel Benzetim Dilleri NEURON, GENESIS,NEST Gündem Neural Simulation Language (NSL) Tarihçe Genel

Detaylı

DERS BİLGİ FORMU. Okul Eğitimi Süresi

DERS BİLGİ FORMU. Okul Eğitimi Süresi ELEKTROMEKANİK KONTROL VE PLC (0860260122) Zorunlu Meslek i Seçmeli (Proje, Ödev, 4 28 22 50 Kredisi 4+1 5 Bu ders ile öğrenci, kumanda elemanlarının montajını ve kumanda devre elemanları kullanılarak

Detaylı

ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOULU

ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOULU ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOULU BMT109 SAYISAL ELEKTRONİK Öğr.Gör.Uğur YEDEKÇİOğLU MANTIKSAL KAPI DEVRELERİ Dijital elektroniğin temelini lojik(mantık) kapılar oluşturmaktadır. Dijital devreler

Detaylı

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9 İÇİNDEKİLER BÖLÜM 1 TEMEL LOJİK KAPI DENEYLERİ 1-1 Lojik ve Anahtara Giriş 1 1-2 Lojik Kapı Devreleri... 9 a. Diyot Lojiği (DL) devresi b. Direnç-Transistor Lojiği (RTL) devresi c. Diyot-Transistor Lojiği

Detaylı

Sistem ve Yazılım Nedir?

Sistem ve Yazılım Nedir? Sistem ve Yazılım Nedir? Bilgisayar Sistemleri; donanım, yazılım ve kullanıcılardan oluşur. Yazılım sadece belirli bir işlemi yapan bir program değildir. Yazılım belirli bir mantık dahilinde insanlar tarafından

Detaylı

9.DERS Yazılım Geliştirme Modelleri

9.DERS Yazılım Geliştirme Modelleri 9.DERS Yazılım Geliştirme Modelleri 1 Yazılım Geliştirme Yaşam Döngüsü ve Modeller Herhangi bir yazılımın, üretim aşaması ve kullanım aşaması birlikte olmak üzere geçirdiği tüm aşamalar olarak tanımlanabilir.

Detaylı

Öğretim planındaki AKTS Tümdevre Tasarımı 523000000001333 2 0 0 2 5. Ders Kodu Teorik Uygulama Lab. SİSTEMDEN GELECEK SİSTEMDEN GELECEK

Öğretim planındaki AKTS Tümdevre Tasarımı 523000000001333 2 0 0 2 5. Ders Kodu Teorik Uygulama Lab. SİSTEMDEN GELECEK SİSTEMDEN GELECEK Ders Kodu Teorik Uygulama Lab. Ulusal Kredi Öğretim planındaki AKTS Tümdevre Tasarımı 523000000001333 2 0 0 2 5 Ön Koşullar Önerilen Dersler Dersin Türü Dersin Dili Dersin Seviyesi Dersin Veriliş Biçimi

Detaylı

BM217 SAYISAL TASARIM DERSİ LABORATUVAR DENEYLERİ

BM217 SAYISAL TASARIM DERSİ LABORATUVAR DENEYLERİ BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BM217 SAYISAL TASARIM DERSİ LABORATUVAR DENEYLERİ Yrd. Doç. Dr. Emre DANDIL İÇİNDEKİLER ŞEKİLLER TABLOSU... vi MALZEME LİSTESİ... viii ENTEGRELER...

Detaylı

MİKROİŞLEMCİ MİMARİLERİ

MİKROİŞLEMCİ MİMARİLERİ MİKROİŞLEMCİ MİMARİLERİ Mikroişlemcilerin yapısı tipik olarak 2 alt sınıfta incelenebilir: Mikroişlemci mimarisi (Komut seti mimarisi), Mikroişlemci organizasyonu (İşlemci mikromimarisi). CISC 1980 lerden

Detaylı

Deney 2: Flip-Floplar

Deney 2: Flip-Floplar Deney 2: Flip-Floplar Bu deneyde, çeşitli flip-flop devreleri kurulacak ve incelenecektir. Kullanılan Elemanlar 1 x 74HC00 (NAND kapısı) 1 x 74HC73 (JK flip-flop) 1 x 74HC74 (D flip-flop) 4 x 4,7 kohm

Detaylı

SAYISAL ANALOG DÖNÜŞTÜRÜCÜ DENEYİ

SAYISAL ANALOG DÖNÜŞTÜRÜCÜ DENEYİ Deneyin Amacı: SAYISAL ANALOG DÖNÜŞTÜRÜCÜ DENEYİ Sayısal Analog Dönüştürücüleri (Digital to Analog Converter, DAC) tanımak ve kullanmaktır. Giriş: Sayısal Analog Dönüştürücüler (DAC) için kullanılan devrelerin

Detaylı

Yazılım Mühendisliği Bölüm - 3 Planlama. Cengiz GÖK

Yazılım Mühendisliği Bölüm - 3 Planlama. Cengiz GÖK Yazılım Mühendisliği Bölüm - 3 Planlama Cengiz GÖK 1 Planlama Yazılım geliştirme sürecinin ilk aşaması Başarılı bir proje geliştirebilmek için projenin tüm resminin çıkarılması işlemi Proje planlama aşamasında

Detaylı

7. Port Programlama. mikroc Dili ile Mikrodenetleyici Programlama Ders Notları -42- Şekil 2.1. Atmega16 mikrodenetleyici pin şeması

7. Port Programlama. mikroc Dili ile Mikrodenetleyici Programlama Ders Notları -42- Şekil 2.1. Atmega16 mikrodenetleyici pin şeması 7. Port Programlama Şekil 2.1. Atmega16 mikrodenetleyici pin şeması A, B, C ve D portları için Register yapıları benzer şekildedir. -42- 7.1. Port Yönlendirme Mikrodenetleyicinin A, B, C, D ve varsa diğer

Detaylı

Nesnelerin İnterneti Güvenlik ve Güç Tüketimi. Özen Özkaya

Nesnelerin İnterneti Güvenlik ve Güç Tüketimi. Özen Özkaya Nesnelerin İnterneti Güvenlik ve Güç Tüketimi Özen Özkaya 24 MAYIS 2017 EMO SEMİNER DİZİSİ - 2 bilgisayarların Analog vs. digital company culture interneti... Nesnelerin interneti, 4. sanayi devrimi ile

Detaylı