Programlanabilir Devreler

Benzer belgeler
Verilog HDL e Giriş Bilg. Yük. Müh. Selçuk BAŞAK

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur.

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI

SAYISAL VLSI SİSTEM TASARIM AKIŞI

FPGA İLE UYGULAMA ÖRNEKLERİ

FPGA ile 2x16 LCD Uygulaması

VERILOG. Modüller

LAB 0 : Xilinx ISE Kullanımı

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI

Chapter1: Introduction. Ders 1: Giriş. Digital System Designs and Practices Using Verilog HDL and 2008~2010, John Wiley 1-1

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Seri Haberleşme (RS232) Uygulaması

BİLGİSAYAR UYGULAMALARI Şırnak Üniversitesi Mühendislik Fakültesi Güz Dönemi Arş.Gör. Eren DEMİR ve Arş.Gör. Veysel KIŞ (

FPGA ile Gömülü Sistem Tasarımına Giriş

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN

FPGA İLE UYGULAMA ÖRNEKLERİ

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

OMNET Ağ Benzetim Yazılımı (Network Simulation Framework) BİL 372 Bilgisayar Ağları. GYTE - Bilgisayar Mühendisliği Bölümü

Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları

Digital Design HDL. Dr. Cahit Karakuş, February-2018

1S Servolarda Safety Over Ethercat (FSoE) İÇİNDEKİLER Giriş FSoE Protokolü hakkinda FSoE Aktivasyonu ve konfigürasyonu FSoE Safety Programı


FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK

Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose

İçindekiler FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ SİSTEMİ ŞEMASI:... 5 FPGA GELİŞTİRME KARTINA PROGRAM YÜKLEME:... 6

B.Ç. / E.B. MİKROİŞLEMCİLER

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ

MX2-CJ2M ETHERNET/IP HABERLEŞMESİ

T.C. RC SERVO MOTOR KONTROLÜ

MPLAB IDE ve ISIS ile ASSEMBLY DİLİNDE UYGULAMA GELİŞTİRMEK

Hamming Kodlamasının FPGA Ortamında Gerçekleştirilmesi

MX2-NJ ETHERNET/IP HABERLEŞMESİ

Opera V2 Kurulum Klavuzu V0.01

ÜÇ ÇUBUK MEKANİZMASI ÖRNEĞİ

ORACLE TNS (TRANSPARENT NETWORK SUBSTRATE) LISTENER YAPILANDIRMASI

VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması

Temel Kavramlar-2. Aşağıda depolama aygıtlarının kapasitelerini inceleyebilirsiniz.

Windows Server 2003 sistemde oluşabilecek hataları giderebilmemiz için bize bir çok araç sunmaktadır. Bunlar:

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın

KDV kısım numaraları Pos cihazındaki KDV departman numaralarına göre tanımlandıktan sonra F2-Kaydet butonu ile bu bölüm kayıt edilir.

Matlab & Simulink MATLAB SIMULINK

NJ-NJ ETHERNET/IP HABERLEŞMESİ

KAVRAMSAL ENERJĐ ANALĐZĐ

VHDL. Ece Olcay Güneş & S. Berna Örs

BİLGİSAYAR DESTEKLİ TASARIM II

FRONT PAGE EĞİTİM NOTLARI BAŞLANGIÇ. 1- Open araç çubuğu düğmesinin yanındaki aşağı oku tıklayarak, web seçeneğini işaretleyin

MY PANEL METER KULLANIM KILAVUZU

ABAQUS Programına Giriş Kullanılacak Sürümler

Sistem Programlama. (*)Dersimizin amaçları Kullanılan programlama dili: C. Giriş/Cıkış( I/O) Sürücülerinin programlaması

Önsöz. İçindekiler Algoritma Algoritma Nasıl Hazırlanır? Yazılımda Algoritma Mantığı Nedir? 1.2. Algoritma Örnekleri ve Sorular

Föy Kitapçıg ı O NDOKUZ M AYIS Ü N I VERS I TES I B I LG I SAYAR M ÜHEND I SL I G I B ÖLÜMÜ S AYISAL TASARIM L ABORATUVARI

Metin İşlemleri, Semboller

Buse Ustaoğlu 1, Berna Örs Yalçın 2. İstanbul Teknik Üniversitesi İstanbul Teknik Üniversitesi

DVP-SV2 SERİSİ PLC YÜKSEK HIZLI PALS GİRİŞLERİ COUNTER TABLOSU

INTERNET INFORMATION SERVICES 6.0 DA WEB SAYFASI YAYINLAMAK

BIND ile DNS Sunucu Kurulumu

Havadan Suya Isı Pompası Seçim Programı / ver.1.4

PLC DE PROGRAMIN YÜRÜTÜLMESİ

TAPU VERİ SAYISALLAŞTIRMA YAZILIMI KURULUM DÖKÜMANI. Tapu Kadastro Genel Müdürlüğü-Bilgi Teknolojileri Daire Başkanlığı Page 1

PSPICE Đ NASIL KULLANIRIM

Gerekli bağlantıları yapıp, ACS420 V3.03 programını çalıştırınız. Program açıldığında, LMS14 ün içindeki parametrelerin okunmasını bekleyiniz.

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

MICROSOFT WORD Şekil 1

OPNET PROJECT EDİTÖRDE. Doç. Dr. Cüneyt BAYILMIŞ

HTML Bloklar. CSS Display özelliği

SPSS (Statistical Package for Social Sciences)

GĐRĐŞ. 1 Nisan 2009 tarihinde BDP programının yeni bir sürümü yayınlanmış ve bu sürümde yapılan değişikliklere

Module 2 Managing User And Computer accounts

TachoMobile Server Uygulaması Kullanım Kılavuzu

İÇİNDEKİLER 1. KLAVYE KLAVYE RB KLAVYE RBHIGH DİSPLAY... 31

VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı

PROGRAMLANAB L R DENETLEY C LER. DERS 04 STEP 7 MICROWIN SP4.0 PROGRAMI KURULUM ve TANITIMI

SIMMAG Kullanım Kılavuzu. Adem Ayhan Karmış. Ana Ekran

ITEC186. Bilgi Teknolojilerine Giriş AUTODESK AUTOCAD 2014-I

Sunucu İşletim Sistemini Ayarlamak ve Yönetmek

İçerik. TBT 1003 Temel Bilgi Teknolojileri

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik

MATLAB a GİRİŞ. Doç. Dr. Mehmet İTİK. Karadeniz Teknik Üniversitesi Makine Mühendisliği Bölümü

BLGM BÖLÜM. Problem Çözme Kavramları (Algoritma ve Akış Şemaları)


SAYISAL KONTROL 2 PROJESİ

Coslat Monitor (Raporcu)

MultiBoot Kullanıcı Kılavuzu

Widows un çalışmasında birinci sırada önem taşıyan dosyalardan biriside Registry olarak bilinen kayıt veri tabanıdır.

HSancak Nesne Tabanlı Programlama I Ders Notları

C Konsol ve Komut Satırı

3. BÖLÜM: EN KÜÇÜK KARELER

Programlanabilir Sayısal Sistemler

INVT IVC1. -Kompakt Tip PLC. Marketing 2014 HM

NX Motion Simulation:

Internet Programming II. Elbistan Meslek Yüksek Okulu Bahar Yarıyılı

Sunucu İşletim Sistemini Ayarlamak ve Yönetmek. Elbistan Meslek Yüksek Okulu Bahar Yarıyılı

DELTA PLC DE ZAMANLAYICILAR

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #6

C-Serisi PLC İleri Seviye Eğitim

FPGA İLE UYGULAMA ÖRNEKLERİ

Transkript:

Programlanabilir Devreler Testbench & Simülasyon

İçerik Tasarlamış olduğumuz sayısal sistemlerin fonksiyonel olarak istenildiği gibi gerçekleştirdiğini doğrulamak gerekir. Verilog ve VHDL gibi donanım tanımlama dilleri (HDL) donanım tasarımında kullanıldığı gibi, test aşamasında da kullanılabilmektedir. Bu sunum tasarlamış olduğumuz sayısal sistemlerin ile verilog testbech ile ModelSim ile simulasyonunu ve doğrulanmasını gösterecektir.

Testbench & Simulasyon Sayısal devre simulasyonu ile tasarlamış olduğumuz sayısal sistemin ve bunun alt modüllerinin gerçeklendiğinde çalışması hakkında bilgi edinilir. Bu simülasyon ile tasarlanmak istenen sistem bilinen girişler ile test edilerek beklenen sonuçlar elde edildiği doğrulanır. Test edilen modül DUT(Device Under Test) olarak adlandırılır. Testbench, test girişleri ve DUT içeren ve simulasyon sonuçlarını programsal olarak değerlendirmemize yardımcı bir modüldür. Tüm tasarlanan sistem için testbench oluşturulabileceği gibi kullanılan her bir modülün testi için testbench ler de oluşturulabilir.

Akış

Quartus II & ModelSim-Altera Ayarları ModelSim-Altera Starter Ed. Kurulur. Quartus a ModelSim bağlantı ayarları yapılır. Tools > Options > EDA Tool Options Eda Tool: «ModelSim-Altera» için kurulum klasörü seçilir. Ör: C:\altera\11.0\modelsim_ase\win32aloem

Quartus II ile Verilog Testbench oluşturma Proje açılır. Assignments > Settings > EDA Tool Settings > Simulation Tool Name: «ModelSim-Altera» olarak seçilir. EDA NetList writer Settings > Format for output netlist : «Verilog» seçilir Processing > Start > Start Test Bench Template Writer Mesajlarda «proje_adi».vt adında bir verilog testbench dosyası hazırlandığı belirtilir. File > Open, Dosya Türü olarak «Test Bench Output Files» seçip. Testbench dosyasını proje klasörü içindeki «simulation\modelsim» klasöründen açabiliriz.

TestBench

Verilog Testbench Verilog testbench in ilk satırı olarak simulasyonda gecikmelerin zaman birimi ve yuvarlama miktarı belirtilir. Ör: `timescale 1 ns/ 100 ps # 10, 10 ns gecikmeye neden olur. Simulasyonda zaman hesaplamaları 100ps(0.1ns) detaylı olarak yapılır.

Verilog Testbench Verilog testbench ana modülü input veya output portları yoktur. Testbench ile DUT/test edilecek modülü içerir ve bu modüle bağlantılı giriş ve çıkış reg ve net tipinde sinyalleri tanımlanır, bu sinyallere atamalar yapılır ve sonuçları incelenir. Reg ve wire ile stimilu (test giriş) sinyalleri tanımlanır initial İnitial bloğu ile reg tipinde sinyallere ilk değer atamaları yapılır. İlk değer ataması yapılmayan reg tipindeki sinyallerin ilk değeri «x» olarak, wire tipindeki sinyaller ise «z» değerlendirilir. intial ve always blokları ile stimilu uygulanarak sonuçlar incelenebilir.

Simulasyon Simulasyon da initial ve always blokları t=0 anında paralel çalışmaya başladığı unutulmamalıdır. (Önce initial çalışıp sonra always blokları çalışmaz.) Sequential devreler için Clock ve Reset sinyallerine ihtiyaç duyulur. `timescale 1 ns/ 100 ps reg clk_50, rst; initial begin clk_50 = 1 b0; // at time 0 rst = 1 b1; // reset is active #20 rst = 1 b0; // at time 20 release reset End always #10 clk_50 = ~clk_50;

Verilog System Tasks Simulasyon sırasında verilog sistem task larını kullanabiliriz. $display Simülasyon sırasında ilgili işlem satırında konsola çıktı verir. Ör:$display("Running test bench"); $display, çeşitli format karakterleri içerir. %h Hex, %b binary, %d decimal Ör: $display("counter value : %d ", counter); $time ile simulasyonun o anki zamanıda çıktı alınabilir. Ör: $display($time,"pos value : %h ", pos);

Verilog System Tasks $monitor $monitor sistem task ı ile belirtilen sinyallerden herhangi biri değiştiğinde konsola çıktı verir. Kullanım şekli $display ile aynıdır. Ör: $monitor($time, " clk_50=%b, rst=%b, enable=%b, counter=%h ", clk_50, rst, enable, counter,); $stop Simülayonu komutun işlendiği noktada dondurur. $finish Simulasyonu sonlandırır. $fopen ve $fdisplay ile dosyaya çıktı verilebilir.

ModelSim

ModelSim Testbench seçimi

ModelSim

ModelSim Quartus II & ModelSim ile RTL ve Gate Level Simulasyon yapılabilir. RTL simulasyonu Sentezleme sonucunda elde edilecek sayısal sistemin fonksiyonel testleri yapılır. Gate Level simulasyonda ise fitter sonrasında FPGA üzerindeki yerleşimden kaynaklanan yol ve lojik gecikmelerinde dikkate alınır. Tools> Run EDA Simulation Tool > EDA RTL Simulation Tools> Run EDA Simulation Tool > EDA Gate Level Simulation