Temel Flip-Flop ve Saklayıcı Yapıları. Mikroişlemciler ve Mikrobilgisayarlar

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "Temel Flip-Flop ve Saklayıcı Yapıları. Mikroişlemciler ve Mikrobilgisayarlar"

Transkript

1 Temel Flip-Flop ve Saklayıcı Yapıları 1

2 Sayısal alga Şekilleri Yükselme Zamanı 2. Alçalma Zamanı 3. Sinyal Genişliği 4. Genlik (Amplitude) 2

3 Periot (T) : Tekrar eden bir sinyalin arka arkaya gelen iki aynı noktası arasındaki zamandır. Frekans (frequency) (f) : Periyodik bir sinyalin sıklık derecesini gösterir. Periyodun bir saniyedeki tekrar sayısıdır. f=1 Hz 1 saniye f=2 Hz T T f = 1/T f=4 Hz T T Mikroişlemciler T ve T 3

4 Temel Hafıza Kavramları Bir flip-flop, genel bir bellek hücresi olup, çalışma gücü kesilmediği ve dış sinyaller ile durumu değişmediği taktirde süresi olarak kalabileceği iki kararlı duruma sahiptir. Flip-floplar 1 bitlik saklayıcıladır. Farklı türleri mevcuttur. R-S Flip-Flop Tetiklemeli RS Flip Flop J-K Flip Flop Ana-Uydu Flip Flop T (Toggle) Tipi Flip Flop (ata) Tipi Flip Flop 4

5 R-S Flip Flop NAN ve NOR kapılı RS FF larda sakıncalı durum haricinde çıkışlar birbirinin tamamlayıcısı durumundadır. Bu FF lar asenkrondur. Girişler değiştiği anda çıkışlar da değişmektedir. 5

6 Tetiklemeli R-S Flip-Flop Bu FF, senkron çalışır. Girişlerin değişmesi, çıkışlara hemen aktarılmaz. Bir zamanlama işaretine gereksinim vardır. Yükselen Kenar Tetiklemeli RS-FF 6

7 RS-FF için zamanlama diyagramı 7

8 J-K Flip-Flop R-S FF un geliştirilmiş şeklidir. J ve K girişleri, S ve R girişleri gibi davranmaktadır. R-S FF taki sakıncalı durum ortadan kalkmıştır. J ve K girişlerinin 1 olması durumunda (tetikleme sinyali dahilinde) çıkış bir önceki konumun tersi olacaktır. Yükselen Kenar Tetiklemeli JK-FF 8

9 Senkron çalışan girişlerin yanısıra asenkron yapıya sahip ön ayarlı kurma (Set ata-s) ve Silme (Clear ata-c) girişleri bulunmaktadır. Bu girişler FF un durumunu tetikleme sinyali ve senkron girişlerin durumuna bakmaksızın belirler. 9

10 JK-FF için zamanlama diyagramı 10

11 Ana-Uydu Flip-Flop R=S=1 durumu sakıncalı durumdur. Böyle bir durumda çıkışlardan birbirinin tamamlayıcısı iki çıkış elde edilemez. 11

12 T (Toggle) Flip-Flop T girişine 1 uygulandığı sürece, gelen tetikleme işaretine bağlı olarak devrenin çıkışı bir önceki çıkışın tersidir. 12

13 Tipi Flip-Flop Kullanılan inverter nedeniyle RS FF daki sakıncalı durum ortadan kalkmış olur. Bu haliyle tipi FF hafıza devrelerinde kullanılan 1 bitlik temel saklayıcıdır. 13

14 Saklayıcı Yapıları 14

15 m bit Saklayıcı Giriş m CK Çıkış m m bitlik saklayıcı 15

16 Saklayıcılar Arası Veri Transferi Saklayıcılar arasındaki transfer paralel ve seri olmak üzere 2 şekilde gerçekleştirilir. 16

17 Seri Veri Transferi İlk durum 1. clock 2. clock 3. clock 17

18 Paralel Veri Transferi 18

19 3 urumlu Lojik ile Ortak Yol Oluşturma Her birimi, ortak kullanılan yola doğrudan bağlanıldığında diğer elemanlara zarar verebilir. Bu sorunun üstersinden gelebilmek için 3 durumlu tampon kullanılır. 3 durumlu kapılar 19

20 3 durumlu lojik ile Ortak Yolun Oluşturulması 3 durumlu lojik ile yalıtılmış 4 bitlik saklayıcı 20

21 Örn: W RW WRM M X RX WRN N Y RY Tek Yönlü Veri Transferi WRO O Z RZ WRP P A1 A0 Aktif Uç 0 0 W 0 1 X 1 0 Y 1 1 Z R A1 A0 1B 1A 2x4 ecoder RW RX RY RZ B1 B0 WR 2B 2A 2x4 ecoder WRM WRN WRO WRP B1 B0 Aktif Uç 0 0 M 0 1 N 1 0 O 1 1 P 21

22 Tek Yönlü Transfer Sırasındaki Zamanlama Sinyalleri 22

23 23 W X Y Z M N O P RZ RY RX RW WRP WRO WRN WRM Çift Yönlü Veri Transferi RM RN RO RP WRZ WRY WRX WRW RZ RX RY RZ RM RN RO RP 3x8 ecoder A2 A1 A0 R 3x8 ecoder B2 B1 B0 WR WRW WRX WRY WRZ WRM WRN WRO WRP

Deney 2: Flip-Floplar

Deney 2: Flip-Floplar Deney 2: Flip-Floplar Bu deneyde, çeşitli flip-flop devreleri kurulacak ve incelenecektir. Kullanılan Elemanlar 1 x 74HC00 (NAND kapısı) 1 x 74HC73 (JK flip-flop) 1 x 74HC74 (D flip-flop) 4 x 4,7 kohm

Detaylı

18. FLİP FLOP LAR (FLIP FLOPS)

18. FLİP FLOP LAR (FLIP FLOPS) 18. FLİP FLOP LAR (FLIP FLOPS) Flip Flop lar iki kararlı elektriksel duruma sahip olan elektronik devrelerdir. Devrenin girişlerine uygulanan işarete göre çıkış bir kararlı durumdan diğer (ikinci) kararlı

Detaylı

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY RAPORU. Deney No: 3 FF Devreleri

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY RAPORU. Deney No: 3 FF Devreleri TEKNOLOJİ FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY RAPORU Deney No: 3 FF Devreleri Yrd. Doç Dr. Ünal KURT Yrd. Doç. Dr. Hatice VURAL Arş. Gör. Ayşe AYDIN YURDUSEV

Detaylı

Bölüm 7 Ardışıl Lojik Devreler

Bölüm 7 Ardışıl Lojik Devreler Bölüm 7 Ardışıl Lojik Devreler DENEY 7- Flip-Floplar DENEYİN AMACI. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop türlerinin

Detaylı

BÖLÜM 8 MANDAL(LATCH) VE FLİP-FLOPLAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır

BÖLÜM 8 MANDAL(LATCH) VE FLİP-FLOPLAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır AYIAL ELETONİ BÖLÜM 8 MANAL(LATCH) VE FLİP-FLOPLA Bu bölümde aşağıdaki konular anlatılacaktır Mandallar(Latches),- Mandalı, Mandalı ontak sıçramasının mandallar yardımı ile engellenmesi Flip-Floplar,-

Detaylı

TURGUT ÖZAL ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ MANTIK DEVRELERİ LABORATUARI. Deney 5 Flip Flop Devreleri

TURGUT ÖZAL ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ MANTIK DEVRELERİ LABORATUARI. Deney 5 Flip Flop Devreleri TURGUT ÖZAL ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ MANTIK DEVRELERİ LABORATUARI Deney 5 Flip Flop Devreleri Öğrenci Adı & Soyadı: Numarası: 1. Flip Flop Devresi ve VEYADEĞİL

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ 1 7. HAFTA Flip-Floplar RS Flip Flop, Tetiklemeli RS Flip Flop, JK Flip Flop, D Tipi Flip Flop, T Tipi Flip Flop Tetikleme

Detaylı

DENEY 6: FLİP-FLOP (BELLEK) DEVRESİ UYGULAMALARI

DENEY 6: FLİP-FLOP (BELLEK) DEVRESİ UYGULAMALARI DENEY 6: FLİP-FLOP (BELLEK) DEVRESİ UYGULAMALARI Deneyin Amaçları Flip-floplara aģina olmak. DeğiĢik tipte Flip-Flop devrelerin gerçekleģtirilmesi ve tetikleme biçimlerini kavramak. ArdıĢık mantık devrelerinin

Detaylı

Deney 3: Asenkron Sayıcılar

Deney 3: Asenkron Sayıcılar Deney 3: Asenkron Sayıcılar Sayıcılar hakkında genel bilgi sahibi olunması, asenkron sayıcıların kurulması ve incelenmesi Kullanılan Elemanlar 1xLM555 Entegresi, 1x10 kohm direnç, 1x100 kohm direnç, 1x10

Detaylı

Teorik Bilgi DENEY 7: ASENKRON VE SENKRON SAYICILAR

Teorik Bilgi DENEY 7: ASENKRON VE SENKRON SAYICILAR DENEY 7: ASENKRON VE SENKRON SAYICILAR Deneyin Amaçları Asenkron ve senkron sayıcı devre yapılarının öğrenilmesi ve deneysel olarak yapılması Deney Malzemeleri 74LS08 Ve Kapı Entegresi (1 Adet) 74LS76

Detaylı

1. Sayıcıların çalışma prensiplerini ve JK flip-floplarla nasıl gerçekleştirileceğini anlamak. 2. Asenkron ve senkron sayıcıları incelemek.

1. Sayıcıların çalışma prensiplerini ve JK flip-floplarla nasıl gerçekleştirileceğini anlamak. 2. Asenkron ve senkron sayıcıları incelemek. DENEY 7-2 Sayıcılar DENEYİN AMACI 1. Sayıcıların çalışma prensiplerini ve JK flip-floplarla nasıl gerçekleştirileceğini anlamak. 2. Asenkron ve senkron sayıcıları incelemek. GENEL BİLGİLER Sayıcılar, flip-floplar

Detaylı

ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 4 DENEYİN ADI: JK, RS, T VE D TİPİ FLİP-FLOPLARIN İNCELENMESİ

ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 4 DENEYİN ADI: JK, RS, T VE D TİPİ FLİP-FLOPLARIN İNCELENMESİ ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 4 DENEYİN ADI: JK, RS, T VE D TİPİ FLİP-FLOPLARIN İNCELENMESİ Açıklamalar: Bu deneyde JK, RS, T ve D tipi flip-flop (FF) lar incelenecektir. Deney içerisinde

Detaylı

DENEY 5 RS FLİP-FLOP DENEYLERİ

DENEY 5 RS FLİP-FLOP DENEYLERİ Adı Soyadı: No: Grup: DENEY 5 RS FLİP-FLOP DENEYLERİ ÖN BİLGİ : Sayısal bilgiyi ( "0" veya "1" ) depolamada ve işlemede kullanılan temel devrelerden biri de F-F lardır. Genel olarak dört tipi vardır: 1-

Detaylı

ARDIŞIL DEVRELER FLIP FLOP (İKİLİ DEVRELER)

ARDIŞIL DEVRELER FLIP FLOP (İKİLİ DEVRELER) AIŞIL EVELE TANIM: ÇIKIŞLAIN BELİLİ Bİ ANAKİ EĞEİ, GİİŞLEİN YANLIZA O ANKİ EGEİNE EĞİL, AYNI ZAMANA GİİŞLEİN ÖNEKİ EĞELEİNİN IAINA A BAĞLI OLAN EVELEE AIŞIL EVELE AI VEİLİ. GEÇMİŞ GİİŞ EĞELEİNİN IAI HAFIZA

Detaylı

DENEY 2- Sayıcılar. 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi.

DENEY 2- Sayıcılar. 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi. DENEY 2- Sayıcılar DENEY 2- JK Flip-Flop Devreleri DENEYİN AMACI 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi. GENEL BİLGİLER Sayıcılar flip-floplar

Detaylı

Bölüm 4 Ardışıl Lojik Devre Deneyleri

Bölüm 4 Ardışıl Lojik Devre Deneyleri Bölüm 4 Ardışıl Lojik Devre Deneyleri DENEY 4-1 Flip-Floplar DENEYİN AMACI 1. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop

Detaylı

DERS NOTLARI. Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi

DERS NOTLARI. Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi DERS NOTLARI Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi DERS-8 11.05.2016 MULTİVİBRATÖR VE FLİP FLOPLAR Giriş Kare veya dikdörtgen sinyal üreten elektronik devreler Multivibratör olarak

Detaylı

Bir devrede bellek elemanı olarak kullanılmak üzere latch leri inceledik.

Bir devrede bellek elemanı olarak kullanılmak üzere latch leri inceledik. Flip-Flop lar Bir devrede bellek elemanı olarak kullanılmak üzere latch leri inceledik. Latch ler bazı problemlere sahiptir: Latch i ne zaman enable yapacağımızı bilmeliyiz. Latch i çabucak devredışı bırakabilmeliyiz

Detaylı

EEM122SAYISAL MANTIK SAYICILAR. Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol

EEM122SAYISAL MANTIK SAYICILAR. Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol EEM122SAYISAL MANTIK BÖLÜM 6: KAYDEDİCİLER VE SAYICILAR Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol KAYDEDİCİLER VE SAYICILAR Flip-flopkullanan devreler fonksiyonlarına göre iki guruba

Detaylı

NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ

NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ DENEY 1 Elektronik devrelerde sık sık karşımıza çıkan

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 6 Tutucular, Flip-Floplar ve Zamanlayıcılar Tutucular (Latches) Tutucu iki kararlı (bistable state) durumu olan en temel sayısal depolama

Detaylı

Bir devrede bellek elemanı olarak kullanılmak üzere tutucuları inceledik.

Bir devrede bellek elemanı olarak kullanılmak üzere tutucuları inceledik. Flip-Flop Bir devrede bellek elemanı olarak kullanılmak üzere tutucuları inceledik. Tutucular bazı problemlere sahiptir: Tutucuyu ne zaman enable yapacağımızı bilmeliyiz. Tutucuyu çabucak devredışı bırakabilmeliyiz

Detaylı

BÖLÜM 9 (COUNTERS) SAYICILAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır

BÖLÜM 9 (COUNTERS) SAYICILAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır SYISL ELETRONİ ÖLÜM 9 (OUNTERS) SYIILR u bölümde aşağıdaki konular anlatılacaktır Sayıcılarda Mod kavramı senkron sayıcılar senkron yukarı sayıcı (Up counter) senkron aşağı sayıcı (Down counter) senkron

Detaylı

Bölüm 4 Ardışıl Lojik Devre Deneyleri

Bölüm 4 Ardışıl Lojik Devre Deneyleri Bölüm 4 Ardışıl Lojik Devre Deneyleri DENEY 4-1 Flip-Floplar DENEYİN AMACI 1. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop

Detaylı

DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler

DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler DENEY 2a- JK Flip-Flop Devreleri DENEYİN AMACI 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi. GENEL

Detaylı

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK Mikroişlemci HAFTA 1 HAFIZA BİRİMLERİ Program Kodları ve verinin saklandığı bölüm Kalıcı Hafıza ROM PROM EPROM EEPROM FLASH UÇUCU SRAM DRAM DRRAM... ALU Saklayıcılar Kod Çözücüler... GİRİŞ/ÇIKIŞ G/Ç I/O

Detaylı

SAYICILAR. Tetikleme işaretlerinin Sayma yönüne göre Sayma kodlanmasına göre uygulanışına göre. Şekil 52. Sayıcıların Sınıflandırılması

SAYICILAR. Tetikleme işaretlerinin Sayma yönüne göre Sayma kodlanmasına göre uygulanışına göre. Şekil 52. Sayıcıların Sınıflandırılması 25. Sayıcı Devreleri Giriş darbelerine bağlı olarak belirli bir durum dizisini tekrarlayan lojik devreler, sayıcı olarak adlandırılır. Çok değişik alanlarda kullanılan sayıcı devreleri, FF lerin uygun

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 9. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar FLIP FLOPS S-R: Set-Reset Latch (Tutucu) Tetiklemeli D Latch (Tutucu) Kenar Tetiklemeli D Flip-Flop S-R

Detaylı

ARDIŞIL DEVRELER (Sequential Circuits)

ARDIŞIL DEVRELER (Sequential Circuits) ayısal evreler (Lojik evreleri) AIŞIL EVELE (equential ircuits) ersin ilk bölümünde kombinezonsal (combinational) devreleri inceledik. Bu tür devrelerde çıkışın değeri o andaki girişlerin değerlerine bağlıdır.

Detaylı

(I) şimdiki. durum (S) belleği. saat. girşi

(I) şimdiki. durum (S) belleği. saat. girşi ers Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/ Eşzamanlı (Senkron) Ardışıl evreler (Synchronous Sequential Circuits) Ardışıl (sequential)

Detaylı

Deney 6: Ring (Halka) ve Johnson Sayıcılar

Deney 6: Ring (Halka) ve Johnson Sayıcılar Deney 6: Ring (Halka) ve Johnson Sayıcılar Kullanılan Elemanlar xlm Entegresi, x0 kohm direnç, x00 kohm direnç, x0 µf elektrolitik kondansatör, x00 nf kondansatör, x 7HC7 (D flip-flop), x 0 ohm, x Led

Detaylı

BÖLÜM 2 SAYI SİSTEMLERİ

BÖLÜM 2 SAYI SİSTEMLERİ İÇİNDEKİLER BÖLÜM 1 GİRİŞ 1.1. Lojik devre içeriği... (1) 1.1.1. Kodlama, Kod tabloları... (2) 1.1.2. Kombinezonsal Devre / Ardışıl Devre... (4) 1.1.3. Kanonik Model / Algiritmik Model... (4) 1.1.4. Tasarım

Detaylı

DENEY 8- Flip Flop ve Uygulamaları. Amaç: - Flip Flop çalışma mantığını kavramak

DENEY 8- Flip Flop ve Uygulamaları. Amaç: - Flip Flop çalışma mantığını kavramak DENEY 8- Flip Flop ve Uygulamaları Amaç: - Flip Flop çalışma mantığını kavramak Deneyin Yapılışı: - Deney bağlantı şemasında verilen devreleri uygun elemanlarla kurunuz. Entegrenin besleme ve GND bağlantılarını

Detaylı

Deney 5: Shift Register(Kaydırmalı Kaydedici)

Deney 5: Shift Register(Kaydırmalı Kaydedici) Deney 5: Shift Register(Kaydırmalı Kaydedici) Kullanılan Elemanlar 1xLM555 Entegresi, 1x10 kohm direnç, 1x100 kohm direnç, 1x10 µf elektrolitik kondansatör, 1x100 nf kondansatör, 2 x 74HC74 (D flip-flop),

Detaylı

ARDIŞIL DEVRELER SENKRON ARDIŞIL DEVRELER

ARDIŞIL DEVRELER SENKRON ARDIŞIL DEVRELER ARDIŞIL DEVRELER TANIM: ÇIKIŞLARIN BELİRLİ BİR ANDAKİ DEĞERİ, GİRİŞLERİN YANLIZA O ANKİ DEĞERİNE BAĞLI OLAN DEVRELER KOMBİNASYONEL DEVRELER OLARAK İSİMLENDİRİLİR. ÇIKIŞLARIN BELİRLİ BİR ANDAKİ DEĞERİ,

Detaylı

Sayıcılar n bitlik bir bilgiyi tutmanın yanısıra her saat çevriminde tuttukları değeri artıran veya azaltan ardışıl devrelerdir.

Sayıcılar n bitlik bir bilgiyi tutmanın yanısıra her saat çevriminde tuttukları değeri artıran veya azaltan ardışıl devrelerdir. Sayıcılar (Counters) Sayıcılar n bitlik bir bilgiyi tutmanın yanısıra her saat çevriminde tuttukları değeri artıran veya azaltan ardışıl devrelerdir. Genel olarak iki gruba ayrılır: Senkron sayıcılar Asenkron

Detaylı

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9 İÇİNDEKİLER BÖLÜM 1 TEMEL LOJİK KAPI DENEYLERİ 1-1 Lojik ve Anahtara Giriş 1 1-2 Lojik Kapı Devreleri... 9 a. Diyot Lojiği (DL) devresi b. Direnç-Transistor Lojiği (RTL) devresi c. Diyot-Transistor Lojiği

Detaylı

SAYISAL MANTIK LAB. PROJELERİ

SAYISAL MANTIK LAB. PROJELERİ 1. 8 bitlik Okunur Yazılır Bellek (RAM) Her biri ayrı adreslenmiş 8 adet D tipi flip-flop kullanılabilir. RAM'lerde okuma ve yazma işlemleri CS (Chip Select), RD (Read), WR (Write) kontrol sinyalleri ile

Detaylı

Bellekler. Mikroişlemciler ve Mikrobilgisayarlar

Bellekler. Mikroişlemciler ve Mikrobilgisayarlar Bellekler 1 Bellekler Ortak giriş/çıkışlara, yazma ve okuma kontrol sinyallerine sahip eşit uzunluktaki saklayıcıların bir tümdevre içerisinde sıralanmasıyla hafıza (bellek) yapısı elde edilir. Çeşitli

Detaylı

T.C. BOZOK ÜNİVERSİTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ LOJĐK DEVRELER LABORATUARI DENEY FÖYÜ

T.C. BOZOK ÜNİVERSİTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ LOJĐK DEVRELER LABORATUARI DENEY FÖYÜ T.C. BOZOK ÜNİVERSİTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ LOJĐK DEVRELER LABORATUARI DENEY FÖYÜ Haziran 2009 ĐÇĐNDEKĐLER Deney-1 Temel Kapı Devreleri. 1 1.1 Ön Çalışma. 1 1.2 Deneyin Amacı 1 1.3

Detaylı

Tek kararlı(monostable) multivibratör devresi

Tek kararlı(monostable) multivibratör devresi Tek kararlı(monostable) multivibratör devresi Malzeme listesi: Güç kaynağı: 12V dc Transistör: 2xBC237 LED: 2x5 mm standart led Direnç: 2x330 Ω, 10 K, 100 K Kondansatör: 100μF, 1000μF Şekildeki tek kararlı

Detaylı

Y.Doç.Dr.Tuncay UZUN 6. Ardışıl Lojik Devreler 2. Kombinezonsal devre. Bellek. Bellek nedir? Bir bellek şu üç önemli özelliği sağlamalıdır:

Y.Doç.Dr.Tuncay UZUN 6. Ardışıl Lojik Devreler 2. Kombinezonsal devre. Bellek. Bellek nedir? Bir bellek şu üç önemli özelliği sağlamalıdır: 6.ARDIŞIL LOJĐK DEVRELER 6.1.Ardışıl Lojik Devre Temelleri SR Tutucu Flip-Flop(FF) Saat, Kenar tetikleme D FF, JK FF, T FF 6.2.Ardışıl Devrelerin Analizi Moore modeli: Çıkışlar= f(şimdiki durum) Mealy

Detaylı

İnönü Üniversitesi Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü

İnönü Üniversitesi Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü İnönü Üniversitesi Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü 00223 - Mantık Devreleri Tasarımı Laboratuar Föyleri Numara: Ad Soyad: Arş. Grv. Bilal ŞENOL Devre Kurma Alanı Arş. Grv. Bilal ŞENOL

Detaylı

BÖLÜM 8 - MULTİVİBRATÖRLER VE FLİP FLOPLAR (FLİP-FLOPS) İÇERİK:

BÖLÜM 8 - MULTİVİBRATÖRLER VE FLİP FLOPLAR (FLİP-FLOPS) İÇERİK: SAYISAL TASARIM-I 10. VE 11. HAFTA BÖLÜM 8 - MULTİVİBRATÖRLER VE FLİP FLOPLAR (FLİP-FLOPS) İÇERİK: Flip-Flop lar ve Flip-Flop Çeşitleri Tetikleme Sinyali ve FF lerde Tetikleme FF lerde Asenkron Girişler

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ 1 8. HAFTA ARDIŞIL DEVRE TASARIMLARI SAYICILAR ASENKRON SAYICILAR SENKRON SAYICILAR 2 ARDIŞIL DEVRELER Bileşik devrelere geri

Detaylı

7.Yazmaçlar (Registers), Sayıcılar (Counters)

7.Yazmaçlar (Registers), Sayıcılar (Counters) 7.Yazmaçlar (Registers), Sayıcılar (Counters) 7..Yazmaçlar Paralel Yüklemeli Yazmaçlar Ötelemeli Yazmaçlar 7.2.Sayıcılar Đkili Asenkron Sayıcılar (Binary Ripple Counter) Đkili Kodlanmış Onlu Asenkron Sayıcı

Detaylı

BÖLÜM 10 KAYDEDİCİLER (REGİSTERS) SAYISAL TASARIM. Bu bölümde aşağıdaki konular anlatılacaktır

BÖLÜM 10 KAYDEDİCİLER (REGİSTERS) SAYISAL TASARIM. Bu bölümde aşağıdaki konular anlatılacaktır erin BÖLÜM 10 KYEİCİLER (REGİSTERS) Bu bölümde aşağıdaki konular anlatılacaktır Kaydedicilerin(Registers) bilgi giriş çıkışına göre ve kaydırma yönüne göre sınıflandırılması. Sağa kaydırmalı kaydedici(right

Detaylı

MUNZUR ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ MANTIK DEVRELERİ LABORATUVARI

MUNZUR ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ MANTIK DEVRELERİ LABORATUVARI DENEY 4 SAYISAL ARİTMETİK Deneyin Amacı Bu deneyde işaretli ve işaretsiz sayılar için ikili sayı ( Binary ) sistemindeki toplama işleminin anlaşılması, işlem performansını artırabilmek için iki tabanındaki

Detaylı

1 ELEKTRONİK KAVRAMLAR

1 ELEKTRONİK KAVRAMLAR İÇİNDEKİLER VII İÇİNDEKİLER 1 ELEKTRONİK KAVRAMLAR 1 Giriş 1 Atomun Yapısı, İletkenler ve Yarı İletkenler 2 Atomun Yapısı 2 İletkenler 3 Yarı İletkenler 5 Sayısal Değerler (I/O) 8 Dalga Şekilleri 9 Kare

Detaylı

William Stallings Computer Organization and Architecture 9 th Edition

William Stallings Computer Organization and Architecture 9 th Edition William Stallings Computer Organization and Architecture 9 th Edition Bölüm 5 İç Hafıza Bir Hafıza Hücresinin Çalışması Bütün hafıza hücrelerinin ortak özellikleri vardır: 0 ve 1 durumundan birini gösterirler

Detaylı

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ SAYISAL DEVRE UYGULAMALARI Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ İÇİNDEKİLER ŞEKİLLER TABLOSU... vi MALZEME LİSTESİ... viii ENTEGRELER... ix 1. Direnç ve Diyotlarla Yapılan

Detaylı

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 TEMEL LOJİK ELEMANLAR VE UYGULAMALARI DENEY SORUMLUSU Arş. Gör. Erdem ARSLAN Arş. Gör.

Detaylı

1. Direnç değeri okunurken mavi renginin sayısal değeri nedir? a) 4 b) 5 c) 1 d) 6 2. Direnç değeri okunurken altın renginin tolerans değeri kaçtır?

1. Direnç değeri okunurken mavi renginin sayısal değeri nedir? a) 4 b) 5 c) 1 d) 6 2. Direnç değeri okunurken altın renginin tolerans değeri kaçtır? 1. Direnç değeri okunurken mavi renginin sayısal değeri nedir? a) 4 b) 5 c) 1 d) 6 2. Direnç değeri okunurken altın renginin tolerans değeri kaçtır? a) Yüzde 10 b) Yüzde 5 c) Yüzde 1 d) Yüzde 20 3. Direnç

Detaylı

SELÇUK ÜNĠVERSĠTESĠ MÜHENDĠSLĠK-MĠMARLIK FAKÜLTESĠ ELEKTRĠK-ELEKTRONĠK MÜHENDĠSLĠĞĠ BÖLÜMÜ LOJĠK DEVRE TASARIM DERS NOTLARI

SELÇUK ÜNĠVERSĠTESĠ MÜHENDĠSLĠK-MĠMARLIK FAKÜLTESĠ ELEKTRĠK-ELEKTRONĠK MÜHENDĠSLĠĞĠ BÖLÜMÜ LOJĠK DEVRE TASARIM DERS NOTLARI SELÇUK ÜNĠVERSĠTESĠ MÜHENDĠSLĠK-MĠMARLIK FAKÜLTESĠ ELEKTRĠK-ELEKTRONĠK MÜHENDĠSLĠĞĠ BÖLÜMÜ LOJĠK DEVRE TASARIM DERS NOTLARI Konya- 2012 i KONULAR 1. Ardışıl lojik devreler, senkron ardışıl lojik devreler

Detaylı

TEKNOLOJİ FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI

TEKNOLOJİ FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI TEKNOLOJİ FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI DENEY FÖYÜ 1 EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI DENEY NO : 1 DENEYİN ADI : OSİLATÖR DEVRESİ Giriş

Detaylı

ArĢ. Gör. Mehmet Zeki KONYAR ArĢ. Gör. Sümeyya ĠLKĠN

ArĢ. Gör. Mehmet Zeki KONYAR ArĢ. Gör. Sümeyya ĠLKĠN Dersin Öğretim Üyesi Laboratuvar Sorumluları : Yrd. Doç. Dr. Adnan SONDAġ : ArĢ. Gör. Bahadır SALMANKURT ArĢ. Gör. Mehmet Zeki KONYAR ArĢ. Gör. Sümeyya ĠLKĠN Ġçindekiler DENEY 1: MANTIK DEVRELERİNE GİRİŞ...

Detaylı

SAYISAL TASARIM Derin

SAYISAL TASARIM Derin 0 BÖLÜM 7 (OSİLATÖLE) MULTİVİBBATÖLE Bu bölümde aşağıdaki konular anlatılacaktır. Multivibratör(Osilatörler) Monostable (tek kararlı) Multivibratörler, Yeniden tetiklenmeyen (Nonretrigerrable) Monostable

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 1 Sayıcılar İkili (Binary) Sayma İkili (Binary) sayma 1 ve 0 ların belirli bir düzen içerisinde sıralanması ile yapılır. Her dört sayıda

Detaylı

Saklayıcı (veya Yazmaç) (Register)

Saklayıcı (veya Yazmaç) (Register) Saklayıcı (veya Yazmaç) (Register) Genel bir ardışıl devre: Saklayıcılar Ardışıl devre analiz ve sentezi için iyi bir örnektir. Ayrıca daha büyük çaplı ardışıl devrelerin tasarımında kullanılabilirler.

Detaylı

KZ MEKATRONİK. Temel Elektrik Elektronik Eğitim Seti Ana Ünite

KZ MEKATRONİK. Temel Elektrik Elektronik Eğitim Seti Ana Ünite Ana Ünite ana ünitesi, analog uygulamalar, dijital uygulamalar ve temel devre analizi uygulamalarının yapılabileceği şekilde çantalı ve masa üstü kullanıma uygun yapıda tasarlanmıştır. İsteğe bağlı olarak

Detaylı

SAYICILAR (COUNTERS) ASENKRON SAYICILAR 2 BİT ASENKRON SAYICI

SAYICILAR (COUNTERS) ASENKRON SAYICILAR 2 BİT ASENKRON SAYICI SAYIILAR (OUNTERS) Sayıcılar sayısal elektroniğin temel devreleridir. Sayıcılar istenilen aralıkta her saat darbesinde ileri veya geri doğru sayma yaparlar. Sayıcılar flip-flop kullanılarak yapılır, kullanılan

Detaylı

9. MERKEZİ İŞLEM BİRİM MODÜLÜ TASARIMI

9. MERKEZİ İŞLEM BİRİM MODÜLÜ TASARIMI 1 9. MERKEZİ İŞLEM BİRİM MODÜLÜ TASARIMI Mikroişlemci temelli sistem donanımının en önemli kısmı merkezi işlem birimi modülüdür. Bu modülü tasarlamak için mikroişlemcinin uç işlevlerinin çok iyi bilinmesi

Detaylı

ARDIŞIL DEVRELER. Çıkışlar. Kombinezonsal devre. Girişler. Bellek

ARDIŞIL DEVRELER. Çıkışlar. Kombinezonsal devre. Girişler. Bellek ARDIŞIL DEVRELER Ardışıl Devreler konusunda Temel bellek elemanları Tutucu (Latch) Flip-flop Ardışıl devrelerin analizi Ardışıl devrelerin sentezi Saklayıcı (Register) ve Sayıcı (Counter) gibi çok kullanılan

Detaylı

BEKLEMELĐ ÇALIŞMA VE ZAMAN SINIRLI ĐŞLER. 1. Genel Tanıtım. 2- WAIT işaretinin üretilmesi

BEKLEMELĐ ÇALIŞMA VE ZAMAN SINIRLI ĐŞLER. 1. Genel Tanıtım. 2- WAIT işaretinin üretilmesi K TÜ Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemciler Laboratuarı BEKLEMELĐ ÇALIŞMA VE ZAMAN SINIRLI ĐŞLER 1. Genel Tanıtım CPU lar bazı çevre birimlerine göre daha hızlı çalışabilir

Detaylı

Bu deney çalışmasında kombinasyonel lojik devrelerden decoder incelenecektir.

Bu deney çalışmasında kombinasyonel lojik devrelerden decoder incelenecektir. 4.1 Ön Çalışması Deney çalışmasında yapılacak uygulamaların benzetimlerini yaparak, sonuçlarını ön çalışma raporu olarak hazırlayınız. 4.2 Deneyin Amacı MSI lojik elemanları yardımıyla kombinasyonel lojik

Detaylı

KIRIKKALE ÜNİVERSİTESİ

KIRIKKALE ÜNİVERSİTESİ KIRIKKALE ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL ELEKTRONİK LAB. DENEY FÖYÜ DENEY 4 OSİLATÖRLER SCHMİT TRİGGER ve MULTİVİBRATÖR DEVRELERİ ÖN BİLGİ: Elektronik iletişim sistemlerinde

Detaylı

Multivibratörler. Monastable (Tek Kararlı) Multivibratör

Multivibratörler. Monastable (Tek Kararlı) Multivibratör Multivibratörler Kare dalga veya dikdörtgen dalga meydana getiren devrelere MULTİVİBRATÖR adı verilir. Bu devreler temel olarak pozitif geri beslemeli iki yükselteç devresinden oluşur. Genelde çalışma

Detaylı

Yarışma Sınavı. 4 Aşağıdakilerden hangisi JFET'te Gate-Source A ) I DSS B ) I S C ) I D D ) I G E ) I DS

Yarışma Sınavı. 4 Aşağıdakilerden hangisi JFET'te Gate-Source A ) I DSS B ) I S C ) I D D ) I G E ) I DS 1 Basic programlamada "Hesaplamada işlenenlerden birisi eksik" (Eksik işlem unsuru) hata mesajı aşağıdakilerden hangisidir? ) Syntax Error Bad file mod Illegal function call Missing Operand Byte Error

Detaylı

SEVİYE MODLU ARDIŞIL DEVRELER 1- GENEL TANITIM. KTÜ Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü Sayısal Tasarım Laboratuarı

SEVİYE MODLU ARDIŞIL DEVRELER 1- GENEL TANITIM. KTÜ Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü Sayısal Tasarım Laboratuarı KTÜ Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü Sayısal Tasarım Laboratuarı SEVİYE MODLU ARDIŞIL DEVRELER - GENEL TANITIM Seviye modlu ardışıl devreler, kombinasyonal devrelere geri besleme özelliği

Detaylı

DENEY-6 LOJİK KAPILAR VE İKİLİ DEVRELER

DENEY-6 LOJİK KAPILAR VE İKİLİ DEVRELER DENEY-6 LOJİK KAPILAR VE İKİLİ DEVRELER DENEYİN AMACI: Bu deneyde temel lojik kapılar incelenecek; çift kararlı ve tek kararlı ikili devrelerin çalışma prensipleri gözlemlenecektir. ÖN HAZIRLIK Temel lojik

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 1 Sayısal Kavramlar Analog ve Sayısal Sistemler 3 Gününüzde bir çok elektronik sistem sayısal ve analog devrelerin birleşiminden oluşur.

Detaylı

Mantık Devreleri Laboratuarı

Mantık Devreleri Laboratuarı 2013 2014 Mantık Devreleri Laboratuarı Ders Sorumlusu: Prof. Dr. Mehmet AKBABA Laboratuar Sorumlusu: Emrullah SONUÇ İÇİNDEKİLER Deney 1: 'DEĞİL', 'VE', 'VEYA', 'VE DEĞİL', 'VEYA DEĞİL' KAPILARI... 3 1.0.

Detaylı

Giriş/Çıkış Arabirimi MİKROİŞLEMCİ SİSTEMLERİ. Arabirim Özellikleri. Giriş/Çıkış Adresleri. G/Ç Arabirimlerinin Bağlanması

Giriş/Çıkış Arabirimi MİKROİŞLEMCİ SİSTEMLERİ. Arabirim Özellikleri. Giriş/Çıkış Adresleri. G/Ç Arabirimlerinin Bağlanması Giriş/Çıkış Arabirimi MİKROİŞLEMCİ SİSTEMLERİ Doç.Dr. Şule Öğüdücü http://ninova.itu.edu.tr/tr/dersler/elektrik-elektronik-fakultesi/0/blg-1/ Giriş/Çıkış () arabirimi bilgisayar ve çevre birimleri arasında

Detaylı

ELK 204 Mantık Devreleri Laboratuvarı Deney Kitapçığı

ELK 204 Mantık Devreleri Laboratuvarı Deney Kitapçığı T.C. Maltepe Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü ELK 204 Mantık Devreleri Laboratuvarı Deney Kitapçığı Dersin Sorumlusu Yrd. Doç. Dr. Zehra Çekmen

Detaylı

BÖLÜM 5 S_BĐT. Komut listesi (STL) Network 1 LD I0.0 S Q0.0, 1

BÖLÜM 5 S_BĐT. Komut listesi (STL) Network 1 LD I0.0 S Q0.0, 1 BÖLÜM 5 ET VE EET ÖLELEĐ : PLC teknolojisinde sürekli çalışmayı sağlamak için mühürleme (kilitleme) pek kullanılmaz. ürekli çalışma başka bir yöntemle çözülür. Bu da ET ve EET tekniğidir. Çıkışın girişe

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 12. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar REGİSTERS (KAYDEDİCİLER) Akümülatör (Accumulator-ACC) lü Paralel Toplayıcı Shift Register (Kayma Registeri)

Detaylı

Şekil 3-1 Ses ve PWM işaretleri arasındaki ilişki

Şekil 3-1 Ses ve PWM işaretleri arasındaki ilişki DARBE GENİŞLİK MÖDÜLATÖRLERİ (PWM) (3.DENEY) DENEY NO : 3 DENEY ADI : Darbe Genişlik Modülatörleri (PWM) DENEYİN AMACI : µa741 kullanarak bir darbe genişlik modülatörünün gerçekleştirilmesi.lm555 in karakteristiklerinin

Detaylı

MANTIK DEVRELERİ HALL, 2002) (SAYISAL TASARIM, ÇEVİRİ, LITERATUR YAYINCILIK) DIGITAL DESIGN PRICIPLES & PRACTICES (3. EDITION, PRENTICE HALL, 2001)

MANTIK DEVRELERİ HALL, 2002) (SAYISAL TASARIM, ÇEVİRİ, LITERATUR YAYINCILIK) DIGITAL DESIGN PRICIPLES & PRACTICES (3. EDITION, PRENTICE HALL, 2001) MANTIK DEVRELERİ DERSİN AMACI: SAYISAL LOJİK DEVRELERE İLİŞKİN KAPSAMLI BİLGİ SUNMAK. DERSİ ALAN ÖĞRENCİLER KOMBİNASYONEL DEVRE, ARDIŞIL DEVRE VE ALGORİTMİK DURUM MAKİNALARI TASARLAYACAK VE ÇÖZÜMLEMESİNİ

Detaylı

x86 Ailesi Mikroişlemciler ve Mikrobilgisayarlar

x86 Ailesi Mikroişlemciler ve Mikrobilgisayarlar x86 Ailesi 1 8085A,8088 ve 8086 2 Temel Mikroişlemci Özellikleri Mikroişlemcinin bir defade işleyebileceği kelime uzunluğu Mikroişlemcinin tek bir komutu işleme hızı Mikroişlemcinin doğrudan adresleyebileceği

Detaylı

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür.

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür. İŞLEMCİLER (CPU) Mikroişlemci Nedir? Mikroişlemci, hafıza ve giriş/çıkış birimlerini bulunduran yapının geneline mikrobilgisayar; CPU' yu bulunduran entegre devre çipine ise mikroişlemci denir. İşlemciler

Detaylı

Deney 4: 555 Entegresi Uygulamaları

Deney 4: 555 Entegresi Uygulamaları Deneyin Amacı: Deney 4: 555 Entegresi Uygulamaları 555 entegresi kullanım alanlarının öğrenilmesi. Uygulama yapılarak pratik kazanılması. A.ÖNBİLGİ LM 555 entegresi; osilasyon, zaman gecikmesi ve darbe

Detaylı

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz.

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz. Girilen iki sayının birbiriyle karşılaştırılıp sonucunda büyük, küçük veya eşit sinyallerinin verileceği bir programı VHDL dili ile yazınız. A : karşılaştırılacak 1.sayıdır. 8 bitlik giriştir. B : karşılaştırılacak

Detaylı

Mikrobilgisayarlar. Mikroişlemciler ve. Mikrobilgisayarlar

Mikrobilgisayarlar. Mikroişlemciler ve. Mikrobilgisayarlar 1 Sayısal Bilgisayarın Tarihsel Gelişim Süreci Babage in analitik makinası (1833) Vakumlu lambanın bulunuşu (1910) İlk elektronik sayısal bilgisayar (1946) Transistörün bulunuşu (1947) İlk transistörlü

Detaylı

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN Bahar Dönemi Öğr.Gör. Vedat MARTTİN Merkezi İşlemci Biriminde İletişim Yolları Mikroişlemcide işlenmesi gereken komutları taşıyan hatlar yanında, işlenecek verileri taşıyan hatlar ve kesme işlemlerini

Detaylı

Deney 1: Saat darbesi üretici devresi

Deney 1: Saat darbesi üretici devresi Deney 1: Saat darbesi üretici devresi Bu deneyde, bir 555 zamanlayıcı entegresi(ic) kullanılacak ve verilen bir frekansta saat darbelerini üretmek için gerekli bağlantılar yapılacaktır. Devre iki ek direnç

Detaylı

Bölüm Bazı Temel Konseptler

Bölüm Bazı Temel Konseptler Bölüm 7 Bu ve bundan sonraki bölümde, makine komutlarını işleten ve diğer birimlerin faaliyetlerini düzenleyen işlem birimi üzerine yoğunlaşacağız. Bu birim genellikle Komut Seti Mimarisi (Instruction

Detaylı

Güz Y.Y. Lojik Devre Laboratuvarı Laboratuvar Çalışma Düzeni

Güz Y.Y. Lojik Devre Laboratuvarı Laboratuvar Çalışma Düzeni 2010-2011 Güz Y.Y. Lojik Devre Laboratuvarı Laboratuvar Çalışma Düzeni Deneyi hangi grubun hangi tarihte ve saatte yapacağı internet sayfasında (http://www.ce.yildiz.edu.tr/myindex.php?id=54) duyurulmuştur.

Detaylı

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü MANTIK DEVRELERİ TASARIMI LABORATUVARI DENEY FÖYLERİ 2018 Deney 1: MANTIK KAPILARI VE

Detaylı

BÖLÜM 3 OSİLASYON KRİTERLERİ

BÖLÜM 3 OSİLASYON KRİTERLERİ BÖLÜM 3 OSİİLATÖRLER Radyo sistemlerinde sinüs işaret osilatörleri, taşıyıcı işareti üretmek ve karıştırıcı katlarında bir frekansı diğerine dönüştürmek amacıyla kullanılır. Sinüs işaret osilatörlerinin

Detaylı

DERS 13 PIC 16F84 ile DONANIM SAYICI KULLANIMI İÇERİK KESME

DERS 13 PIC 16F84 ile DONANIM SAYICI KULLANIMI İÇERİK KESME DERS 13 PIC 16F84 ile DONANIM SAYICI KULLANIMI İÇERİK KESME Ders 13, Slayt 2 1 TMR0 SAYICISI Ram belleğin h 01 adresi TMR0 adlı özel amaçlı bir saklayıcı olarak düzenlenmiştir. Bu saklayıcı bir sayıcıdır.

Detaylı

1. Temel lojik kapıların sembollerini ve karakteristiklerini anlamak. 2. Temel lojik kapıların karakteristiklerini ölçmek.

1. Temel lojik kapıların sembollerini ve karakteristiklerini anlamak. 2. Temel lojik kapıların karakteristiklerini ölçmek. DENEY 1 Temel Lojik Kapıların Karakteristikleri DENEYİN AMACI 1. Temel lojik kapıların sembollerini ve karakteristiklerini anlamak. 2. Temel lojik kapıların karakteristiklerini ölçmek. GENEL BİLGİLER Temel

Detaylı

KENAR TETİKLEMELİ D FLİP-FLOP

KENAR TETİKLEMELİ D FLİP-FLOP Karadeniz Teknik Üniversitesi Bilgisaar Mühendisliği Bölümü Saısal Tasarım Laboratuarı KENAR TETİKLEMELİ FLİP-FLOP 1. SR Flip-Flop tan Kenar Tetiklemeli FF a Geçiş FF lar girişlere ugulanan lojik değerlere

Detaylı

Mikroişlemcili Sistemler ve Laboratuvarı

Mikroişlemcili Sistemler ve Laboratuvarı SAKARYA ÜNİVERSİTESİ Bilgisayar ve Bilişim Bilimleri Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemcili Sistemler ve Laboratuvarı Zamanlayıcılar ve Sayıcılar Doç.Dr. Ahmet Turan ÖZCERİT Doç.Dr. Cüneyt

Detaylı

ÖĞRENME FAALİYETİ-2 ÖĞRENME FAALİYETİ-2 2. MULTİVİBRATÖRLER

ÖĞRENME FAALİYETİ-2 ÖĞRENME FAALİYETİ-2 2. MULTİVİBRATÖRLER ÖĞRENME FAALİYETİ-2 AMAÇ ÖĞRENME FAALİYETİ-2 Flip-Flopların temeli olan multivibratör devrelerini tanıyacak ve bu devreleri hatasız kurup çalıştırabileceksiniz. ARAŞTIRMA Kare dalga çıkışı olan bir osilatörün

Detaylı

Mikroişlemcili Sistemler ve Laboratuvarı

Mikroişlemcili Sistemler ve Laboratuvarı SAKARYA ÜNİVERSİTESİ Bilgisayar ve Bilişim Bilimleri Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemcili Sistemler ve Laboratuvarı Hafta04 : 8255 ve Bellek Organizasyonu Doç.Dr. Ahmet Turan ÖZCERİT

Detaylı

ÖĞRENME FAALİYETİ-2 2. MULTİVİBRATÖRLER

ÖĞRENME FAALİYETİ-2 2. MULTİVİBRATÖRLER ÖĞRENME FAALİYETİ-2 AMAÇ ÖĞRENME FAALİYETİ-2 Flip-Flopların temeli olan multivibratör devrelerini tanıyacak ve bu devreleri hatasız kurup çalıştırabileceksiniz. ARAŞTIRMA Kare dalga çıkışı olan bir osilatörün

Detaylı

BM217 SAYISAL TASARIM DERSİ LABORATUVAR DENEYLERİ

BM217 SAYISAL TASARIM DERSİ LABORATUVAR DENEYLERİ BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BM217 SAYISAL TASARIM DERSİ LABORATUVAR DENEYLERİ Yrd. Doç. Dr. Emre DANDIL İÇİNDEKİLER ŞEKİLLER TABLOSU... vi MALZEME LİSTESİ... viii ENTEGRELER...

Detaylı

Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/

Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/ Eşzamanlı (Senkron) Ardışıl Devrelerin Tasarlanması (Design) Bir ardışıl devrenin tasarlanması, çözülecek olan problemin sözle anlatımıyla (senaryo) başlar. Bundan sonra aşağıda açıklanan aşamalardan geçilerek

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar)

Detaylı

BĠLEġĠMSEL DEVRELER (COMBĠNATIONAL)

BĠLEġĠMSEL DEVRELER (COMBĠNATIONAL) BĠLEġĠMSEL DEVRELER (COMBĠNATIONAL) ARĠTMETĠK ÜNĠTELER Toplama, çıkarma,çarpma ve bölme gibi aritmetik iģlemleri yapan sayısal devrelere aritmetik devreler adı verilir. Sayısal sistemlerde temel aritmetik

Detaylı