CONTROLING LINEAR BRUSHLESS DC MOTOR USING EMBEDDED SYSTEM

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "CONTROLING LINEAR BRUSHLESS DC MOTOR USING EMBEDDED SYSTEM"

Transkript

1 CONTROLING LINEAR BRUSHLESS DC MOTOR USING EMBEDDED SYSTEM Ozan AKI Trakya Üniversitesi Trakya Üniversitesi ÖZET: ce göre anahtarlama seçimi ve nihayetinde, motoru sürebilmek için gerekli algoritmalar Anahtar sözcükler: ABSTRACT: Linear motors created its own application areas for mechanical motion. On the other hand, linear brushless DC motors are needed to switching control circuits such as brushless DC motor. In this study, an embedded control system has been designed for controlling a linear brushless DC motor. Designing this system has several stages. Designing electronic circuit is consisting of selecting suitable switching components to control necessary load, designing driver and logical circuits, selecting suitable microcontroller and drawing final circuit schema. Designing printed circuit board is need to placing electronic components properly and wiring them related to schema. A microcontroller software has been written for running motor control algorithms. Finally, designed embedded control system has been worked successfully with a real linear brushless DC motor. K ey words: linear brushless DC motor, brushless DC motor, linear motor, embedded system ni çeken kuvvet uygular. Bu kuvvet sonucu meydana gelen fiziksel harekete manyeto motor kuvvet (MMK) denir (Schultz, 2007) i hareketli parça stator rotor ya da rotorda manyetik alan kolektör v (Hughes & Drury, 2013). (Hughes & Drury, 2013). DC (DFSDC) motorunun kontrolü, bir mikrodenetleyici sistem ile DFSDC motorlar sadece bir

2 DFSDC DFSDC ir gömülü sistem devreler ve nihayetinde uygun bir mikro DFSDC motorun hareketini ve kontrolünü programlan YÖNTEM seçilmesi ile ilgili konular DFSDC uplu bu ve hareketli rotor ise 5mm blok demir üzerine sahip bu motor, 300 Amper- manyeto-motor kuvvet (MMF) üretebilecek. Bu kontrol edilen motorun genel görünümü 1 (a) (b) 1. Stator (a) ve Rotorunun (b) Genel Görünümü DFSDC esleme, güç devresi, kontrol 2

3 2 Besleme Devresi ve devre (MeanWell, 2015). - güç ü an güç yine. DC- (TI, 2016), LM78M (TI, 2005). DC- devresinden elde edilen 12V, anahtarlama - te 3. ve Sürücü Devresi Simetrik besleme p bobinleri için birer H köprü devresi H köprüsünü ol için IRF3710S N Kanal 100V 57A MOSFET (IR, 2009).

4 4. -K öprüsü ve Sürücü Devresi MOSFET Sürücü devresi olarak, IR2110S sürücü entegre devreleri S sürücü adet N Kanal Her f anan H köprüsü devre (IR, 2005). 8A Transil (Vishay, 2016). Sürücü devreleri ile birlikte, H köprüsü devre 4 ki mikro denetleyici PWM nin kont köprülerinin dörder kontrol ucu te 5. Sürücülerin K ontrolü için Lojik Devre

5 6 adet HC04 lojik entegresi ile 3 anahtar içeren 74HC (NXP, 2012, 2016). Bu lojik devre, H köprülerinde bir anda sadece motorun üzerinde bulunan, elektromanyetik Schmitt Trigger DFSDC motor üzerinde (NXP, 2012; Toshiba, 2007) sola, ve dur TLP281-4 optokuplörler ve Schmitt Trigger içindeki LED) eviren l 6 da 6. pozisyonunu okumak için ise, UGN3177 Hall Effect d ketli rotor (Allegro, 2005). Hall Effect Mikro Denetleyici Mikro denetleyici olarak Atmel 32KB B EEPROM, 2KB B bu (Atmel, 2015). Bu mikro denetleyici devre üzeri programlama (ISP) bu port 7

6 7. Tasarlanan sistemin temel görevi, ilgili komut al DFSDC motoru hareket ettirmek alt biriml Sis 8 da Atmel Studio ve Arduino Visual Micro (Arduino, 2016; Atmel, 2016; Micro, 2016). 8., her çevrimde (Ganssle, 2004). S sinyal sinyalle 9 da

7 Motorun Sürülmesi 9. DFSDC motorun sürülebilmesi için her faz bobini bobini N ya da tetiklenmesinde PWM lebilmektedir. leri yoluyla her bir faz 10 de 10. DFSDC Faz Bobinleri Sola A B C A B C A B C tetikleme sinyalleri her faz bobinine ait H köprülerine BULGULAR

8 az sürü olurdu. Göreceli olarak yüksek bir gerilim olan 48V beslemeyi devr 76HV DCdirenç DC- eydana gelen SONUÇ boyutla ir.. zmet etse de, Bulgular bölümünde büyüktür. kart K AYNAK LAR Allegro. (2005). Allegro A3175 and A3177 Hall Effect Latches Data Sheet. URL: Arduino. (2016). Arduino Genuino. Retrieved from Atmel. (2015). Atmel ATmega328P 8-Bit Microcontroller with 4/8/16/32kbytes In-System Programmable Flash Datasheet. URL: ATmega48A-48PA-88A-88PA-168A-168PA P_datasheet_Complete.pdf Atmel. (2016). Atmel Studio 7 - Easier to Use and More Powerful Than Ever. Retrieved from Ganssle, J. G. (2004). A guide to debouncing. Guide to Debouncing, Ganssle Group, Baltimore, MD, US, Hughes, A., & Drury, B. (2013). Electric motors and drives: fundamentals, types and applications: Newnes. IR. (2005). International Rectifier IR2110S High and Low Side Driver Datasheet. URL: IR. (2009). International Rectifier IRF3710S HEXFET Power MOSFET Data Sheet. URL: MeanWell. (2015). Mean Well 480W Single Output with PFC Function SP 480 Series Datasheet. URL: Micro, V. (2016, ). Arduino IDE for Microsoft Visual Studio and Atmel Studio. Retrieved from NXP. (2012). NXP 74HC14, 74HCT14 Hex Inverting Schmitt Trigger Product Data Sheet. URL: NXP. (2016). NXP 74HC4053, 74HCT4053 Triple 2-Channel Analog Multiplexer / Demultiplexer Product Data Sheet. URL: Schultz, M. E. (2007). Grob's basic electronics: McGraw-Hill Higher Education.

9 TI. (2005). Texas Instruments LM341 / LM78MXX Series 3-Terminal Positive Voltage Regulators Data Sheet. URL: TI. (2016). Texas Instruments LM2576xx Series SIMPLE SWITCHER 3-A Step-Down Voltage Regulator Data Sheet. URL: Toshiba. (2007). Toshiba Photocoupler GaAs IRED & Photo-Transistor Tlp281, Tlp URL: Vishay. (2016). TRANSZORB Transient Voltage Suppressors 1.5KE6.8A thru 1.5KE540A, 1N6267A thru 1N6303A URL:

4-Deney seti modüler yapıya sahiptir ve kabin içerisine tek bir board halinde monte edilmiştir.

4-Deney seti modüler yapıya sahiptir ve kabin içerisine tek bir board halinde monte edilmiştir. MDS 8051 8051 AİLESİ DENEY SETİ 8051 Ailesi Deney Seti ile piyasada yaygın olarak bulunan 8051 ailesi mikro denetleyicileri çok kolay ve hızlı bir şekilde PC nizin USB veya Seri portundan gönderdiğiniz

Detaylı

İçİndekİler. 1. Bölüm - Mİkro Denetleyİcİ Nedİr? 2. Bölüm - MİkroDenetleyİcİlerİ Anlamak

İçİndekİler. 1. Bölüm - Mİkro Denetleyİcİ Nedİr? 2. Bölüm - MİkroDenetleyİcİlerİ Anlamak XIII İçİndekİler 1. Bölüm - Mİkro Denetleyİcİ Nedİr? Mikrodenetleyici Tanımı Mikrodenetleyicilerin Tarihçesi Mikroişlemci- Mikrodenetleyici 1. İki Kavram Arasındaki Farklar 2. Tasarım Felsefesi ve Mimari

Detaylı

ANAHTARLI RELÜKTANS MOTORUN SAYISAL HIZ KONTROLÜ

ANAHTARLI RELÜKTANS MOTORUN SAYISAL HIZ KONTROLÜ ANAHTARLI RELÜKTANS MOTORUN SAYISAL HIZ KONTROLÜ Zeki OMAÇ Hasan KÜRÜM Fırat Üniversitesi Bingöl Meslek Yüksekokulu Bingöl Fırat Üniversitesi Mühendislik Fakültesi Elektrik - Elektronik Mühendisliği Bölümü

Detaylı

mikroc Dili ile Mikrodenetleyici Programlama Ders Notları / Dr. Serkan DİŞLİTAŞ

mikroc Dili ile Mikrodenetleyici Programlama Ders Notları / Dr. Serkan DİŞLİTAŞ 12. Motor Kontrolü Motorlar, elektrik enerjisini hareket enerjisine çeviren elektromekanik sistemlerdir. Motorlar temel olarak 2 kısımdan oluşur: Stator: Hareketsiz dış gövde kısmı Rotor: Stator içerisinde

Detaylı

300 W İNVERTER DEVRESİ TASARIMI VE GERÇEKLEŞTİRİLMESİ DESIGN AND IMPLEMENTATION OF 300 W INVERTER

300 W İNVERTER DEVRESİ TASARIMI VE GERÇEKLEŞTİRİLMESİ DESIGN AND IMPLEMENTATION OF 300 W INVERTER Selçuk Üniversitesi Sosyal ve Teknik Araştırmalar Dergisi Sayı: 11, 2016, ss. 57-66 Selcuk University Journal of Social and Technical Researches Volume:11, 2016, p. 57-66 300 W İNVERTER DEVRESİ TASARIMI

Detaylı

PIC Tabanlı Fırçasız DC Motor Sürücüsü Tasarımı

PIC Tabanlı Fırçasız DC Motor Sürücüsü Tasarımı PIC Tabanlı Fırçasız DC Motor Sürücüsü Tasarımı Ömer Aydoğdu, Mert Bayer lektrik-lektronik Mühendisliği Bölümü Selçuk Üniversitesi oaydogdu@selcuk.edu.tr, bayermert@hotmail.com Özet Bu çalışmada, Fırçasız

Detaylı

Küresel Motorun Mikrodenetleyici Kontrollü Sürücü Devresi ve Tasarımı

Küresel Motorun Mikrodenetleyici Kontrollü Sürücü Devresi ve Tasarımı Ordu Üniv. Bil. Tek. Derg., Cilt:6, Sayı:2, 2016,108-116/Ordu Univ. J. Sci. Tech., Vol:6, No:2,2016,108-116 Küresel Motorun Mikrodenetleyici Kontrollü Sürücü Devresi ve Tasarımı Sibel AKKAYA OY 1*, Osman

Detaylı

Mikrodenetleyici Tabanlı DA Motor Kontrolü ve PC Üzerinden İzlenmesi

Mikrodenetleyici Tabanlı DA Motor Kontrolü ve PC Üzerinden İzlenmesi 6 th International Advanced Technologies Symposium (IATS 11), 16-18 May 2011, Elazığ, Turkey Mikrodenetleyici Tabanlı DA Motor Kontrolü ve PC Üzerinden İzlenmesi S. Vadi, S. Reyhanoğlu, S. Çelik Gazi Üniversitesi,

Detaylı

Arduino nedir? Arduino donanım ve yazılımın kolayca kullanılmasına dayalı bir açık kaynak elektronik platformdur.

Arduino nedir? Arduino donanım ve yazılımın kolayca kullanılmasına dayalı bir açık kaynak elektronik platformdur. Arduino nedir? Arduino donanım ve yazılımın kolayca kullanılmasına dayalı bir açık kaynak elektronik platformdur. Açık kaynak nedir? Açık kaynak, bir bilgisayar yazılımının makina diline dönüştürülüp kullanımından

Detaylı

Bilgisayar Arayüzlü DsPIC Kontrollü Fırçasız Doğru Akım Motoru Sürücü Sistemi

Bilgisayar Arayüzlü DsPIC Kontrollü Fırçasız Doğru Akım Motoru Sürücü Sistemi Bilgisayar Arayüzlü DsPIC Kontrollü Fırçasız Doğru Akım Motoru Sürücü Sistemi Okan Bingöl 1 -Mehmet Ali Yalçınkaya 2 - Orhan Tosun 2 1 Süleyman Demirel Üniversitesi, Teknoloji Fakültesi, Elektrik Elektronik

Detaylı

NES DC.DRV.200 Tanıtım Dokümanı

NES DC.DRV.200 Tanıtım Dokümanı NES DC.DRV.00 Tanıtım Dokümanı 10.08.016 Giri Tasarım ve yazılım faaliyetleri tamamen yerli olarak firmamız tarafından gerçekle tirilen Endüstriyel DC motorlar için geli tirilmi mikroi lemci kontrollü

Detaylı

MİKRO KONTROLÖR İLE SCR TETİKLEME DEVRESİ TASARIMI VE GERÇEKLEŞTİRİLMESİ

MİKRO KONTROLÖR İLE SCR TETİKLEME DEVRESİ TASARIMI VE GERÇEKLEŞTİRİLMESİ MİKRO KONTROLÖR İLE SCR TETİKLEME DEVRESİ TASARIMI VE GERÇEKLEŞTİRİLMESİ ERCAN KURAK 1, VOLKAN ERDEMİR 2 ÖZET Günümüz güç elektroniği, endüstriyel ve tüketici elektroniği çerçevesinde yaygınlaşmakta ve

Detaylı

SMDA MOTORLU OTONOM ARAÇLARIN GÖMÜLÜ KOD ÜRETİMİ İLE TMS320F2808 DSP TABANLI HIZ DENETİMİ

SMDA MOTORLU OTONOM ARAÇLARIN GÖMÜLÜ KOD ÜRETİMİ İLE TMS320F2808 DSP TABANLI HIZ DENETİMİ Fırat Üniversitesi-Elazığ SMDA MOTORLU OTONOM ARAÇLARIN GÖMÜLÜ KOD ÜRETİMİ İLE TMS320F2808 DSP TABANLI HIZ DENETİMİ Selçuk GÜVEN, H. İbrahim OKUMUŞ, İbrahim YAŞAR Elektrik-Elektronik Mühendisliği Bölümü

Detaylı

IR Modülü. Kart Özellikleri Çalısma Frekansı: 38KHz Mesafe: 6 Metre Çalısma Voltajı: 3.3-5V Kart Boyutları: 20 mm x 20 mm

IR Modülü. Kart Özellikleri Çalısma Frekansı: 38KHz Mesafe: 6 Metre Çalısma Voltajı: 3.3-5V Kart Boyutları: 20 mm x 20 mm ÜRÜN KATALOGU IR Modülü Kart Özellikleri Çalısma Frekansı: 38KHz Mesafe: 6 Metre Çalısma Voltajı: 3.3-5V Kart Boyutları: 20 mm x 20 mm Modül üzerinde PIC12F675 mikrodenetleyicisi bulunmaktadır. Vcc pinine

Detaylı

ALİ ÇETİNKAYA Tuğba SARAY DERS DANIŞMANI PROF. DR. MEHMET BAYRAK

ALİ ÇETİNKAYA Tuğba SARAY DERS DANIŞMANI PROF. DR. MEHMET BAYRAK T.C. KTO KARATAY ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ELEKTRİK VE BİLGİSAYAR MÜHENDİSLİĞİ ANA BİLİM DALI ULTRASONİK SENSÖR İLE RADAR OLUŞTURMA VE BLUETOOTH SENSÖR ÜZERİNDEN GELEN VERİLERE GÖRE ROBOT HAREKETLERİNİN

Detaylı

SİRKÜLASYON POMPASINDA KULLANILAN SABİT MIKNATISLI MOTOR SÜRÜCÜSÜNÜN BİLGİSAYAR ORTAMINDA FONKSİYONEL MODELLEMESİ

SİRKÜLASYON POMPASINDA KULLANILAN SABİT MIKNATISLI MOTOR SÜRÜCÜSÜNÜN BİLGİSAYAR ORTAMINDA FONKSİYONEL MODELLEMESİ Su Basınçlandırma Eylül 2011 Sayı 32 Sayın Okurumuz, Bu bültenle, çalışma alanımızda Alarko Carrier ve iş ortaklarımızın teknik ve geliştirme çalışmalarımızın açıklandığı makaleleri sizlerle paylaşmak

Detaylı

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ SAYISAL DEVRE UYGULAMALARI Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ İÇİNDEKİLER ŞEKİLLER TABLOSU... vi MALZEME LİSTESİ... viii ENTEGRELER... ix 1. Direnç ve Diyotlarla Yapılan

Detaylı

BĐLGĐSAYAR KONTROLLU CNC SĐSTEMĐ PC CONTROLLED CNC SYSTEM

BĐLGĐSAYAR KONTROLLU CNC SĐSTEMĐ PC CONTROLLED CNC SYSTEM BĐLGĐSAYAR KONTROLLU CNC SĐSTEMĐ PC CONTROLLED CNC SYSTEM L. Özlem KARACA, Taner AKKAN, Tolga OLCAY, Hakan ÇELĐK, Recep Can BAŞKURT, Đsmail YALÇINER, Mehmet BAYSARI Dokuz Eylül Üniversitesi Đzmir Meslek

Detaylı

Gömülü Sistemler. (Embedded Systems)

Gömülü Sistemler. (Embedded Systems) Gömülü Sistemler (Embedded Systems) Tanım Gömülü Sistem (Embedded System): Programlanabilir bilgisayar içeren fakat kendisi genel amaçlı bilgisayar olmayan her türlü cihazdır. Gömülü Sistem (Embedded System):

Detaylı

DOĞRULTUCULAR RECTIFIERS

DOĞRULTUCULAR RECTIFIERS DOĞRULTUCULAR ELEKTRİKSEL BAĞLANTI ŞEKİLLERİ VE AKSESUARLARI ELECTRICAL SWITCHGEAR AND ACCESSORIES Durdurmak İçin / For Brake Solutions V:DDY-17-03 derelifren.com.tr 2 GENEL BİLGİLER General Info 3 DOĞRULTUCULAR

Detaylı

ELEKTROLİZ YAPMAK İÇİN PI DENETİMLİ SENKRON DA-DA DÖNÜŞTÜRÜCÜ TASARIMI

ELEKTROLİZ YAPMAK İÇİN PI DENETİMLİ SENKRON DA-DA DÖNÜŞTÜRÜCÜ TASARIMI 5. luslararası İleri Teknolojiler Sempozyumu (IATS 09), 13 15 Mayıs 2009, Karabük, Türkiye LKTROLİZ YAPMAK İÇİN PI DNTİMLİ SNKRON DA-DA DÖNÜŞTÜRÜCÜ TASARIMI DSIGN OF A PI CONTROLLD SYNCRONOS DC-DC CONVRTR

Detaylı

PWM SİNYALİNİN DUTY CYCLE SÜRESİNİN %0 İLE %100 ARASINDA DEĞİŞİMİ İLE DC MOTORUN HASSAS KONTROLÜ ÖZET

PWM SİNYALİNİN DUTY CYCLE SÜRESİNİN %0 İLE %100 ARASINDA DEĞİŞİMİ İLE DC MOTORUN HASSAS KONTROLÜ ÖZET PWM SİNYALİNİN DUTY CYCLE SÜRESİNİN %0 İLE %100 ARASINDA DEĞİŞİMİ İLE DC MOTORUN HASSAS KONTROLÜ Oğuz YAZ 1, Ozan KARAKULAK 2, Erman KÖYBAŞI 3, Sabri BİCAKCI 4, Can Candan 5, Davut AKDAŞ 6 1 oguzyaz@bau.edu.tr

Detaylı

ELECO '2012 Elektrik - Elektronik ve Bilgisayar Mühendisliği Sempozyumu, 29 Kasım - 01 Aralık 2012, Bursa

ELECO '2012 Elektrik - Elektronik ve Bilgisayar Mühendisliği Sempozyumu, 29 Kasım - 01 Aralık 2012, Bursa Maksimum Aydınlatma Verimliliğine Sahip Buck Tipi Güç LED Sürücü Devre Tasarımı Optimization of Illumination Yields of Buck-Based Power LED Driver Circuit Design İpek İnal 1, Ömer Faruk Farsakoğlu 2 1-2

Detaylı

PIC PROGRAMLAMA STEP MOTOR SÜRÜCÜ VE KONTROL AMAÇ NEDİR? Unipolar Step Motorlar. Uç TESPİTİ NASIL YAPILIR?

PIC PROGRAMLAMA STEP MOTOR SÜRÜCÜ VE KONTROL AMAÇ NEDİR? Unipolar Step Motorlar. Uç TESPİTİ NASIL YAPILIR? PIC PROGRAMLAMA hbozkurt@mekatroniklab.com www.mekatroniklab.com.tr STEP MOTOR SÜRÜCÜ VE KONTROL AMAÇ Bu ayki sayımızda, özellikle CNC ve robotik uygulamalarda oldukça yaygın olarak kullanılan step motorlar

Detaylı

Endüstri 4.0 ve Nesnelerin Interneti

Endüstri 4.0 ve Nesnelerin Interneti Endüstri 4.0 ve Nesnelerin Interneti Endüstri 4.0 ve Nesnelerin Interneti Endüstri 4.0, teknolojilerin ve değer zinciri organizasyonları kavramlarının kolektif bir bütünüdür. (http://www.endustri40.com/endustri-tarihine-kisa-bir-yolculuk)

Detaylı

5kW, Trafolu Tek Faz Kısa Devre Korumalı İnverter Tasarımı 5kW, Short Circuit Protected Single Phase Inverter Design With Transformer

5kW, Trafolu Tek Faz Kısa Devre Korumalı İnverter Tasarımı 5kW, Short Circuit Protected Single Phase Inverter Design With Transformer 5kW, Trafolu Tek Faz Kısa Devre Korumalı İnverter Tasarımı 5kW, Short Circuit Protected Single Phase Inverter Design With Transformer Esra Erdem 1, Sinan KIVRAK 2, Selami KESLER 1 1 Elektrik-Elektronik

Detaylı

Yusuf YAŞA, Ergin ŞAHİN, Çilem ACAR, Aybüke Gözütok, Ecem FIRAT, Erkan MEŞE

Yusuf YAŞA, Ergin ŞAHİN, Çilem ACAR, Aybüke Gözütok, Ecem FIRAT, Erkan MEŞE YÜKSEK PERFORMANSLI SERVO UYGULAMALAR İÇİN MOTOR SÜRÜCÜSÜ TASARIMI VE UYGULAMASI The Driver Design and Implementation of Electric Motor for High Performance Servo Applications Yusuf YAŞA, Ergin ŞAHİN,

Detaylı

Asenkron Motorun Klasik Denetimli PWM İnverter İle Mikroişlemci Tabanlı Hız Kontrolü

Asenkron Motorun Klasik Denetimli PWM İnverter İle Mikroişlemci Tabanlı Hız Kontrolü Asenkron Motorun Klasik Denetimli PWM İnverter İle Mikroişlemci Tabanlı Hız Kontrolü Kübra BULUT kubrabulut92@gmail.com Gülşah DANE gulsahdane@gmail.com Artun SEL artunsel@gmail.com Serap TUTAN serap_tutan@hotmail.com

Detaylı

BULANIK MANTIK YÖNTEMİNİN PID DENETLEYİCİ PERFORMANSINA ETKİSİ

BULANIK MANTIK YÖNTEMİNİN PID DENETLEYİCİ PERFORMANSINA ETKİSİ 16. ULUSAL MAKİNA TEORİSİ SEMPOZYUMU Atatürk Üniversitesi, Mühendislik Fakültesi, 12-13 Eylül, 2013 BULANIK MANTIK YÖNTEMİNİN PID DENETLEYİCİ PERFORMANSINA ETKİSİ 1 Mustafa ARDA, 2 Aydın GÜLLÜ, 3 Hilmi

Detaylı

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ EĞİTİM ÖĞRETİM YILI DERS KATALOĞU

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ EĞİTİM ÖĞRETİM YILI DERS KATALOĞU T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ - EĞİTİM ÖĞRETİM YILI DERS KATALOĞU Ders Kodu Bim Kodu Ders Adı Türkçe Ders Adı İngilizce Dersin Dönemi T Snf Açıl.Dönem P

Detaylı

Adım Motoru: açıya adım. Şekil 8.2 tekyönlü. Lab 8. Siyah (A) Mavi ( B ) Kırmızı (B)

Adım Motoru: açıya adım. Şekil 8.2 tekyönlü. Lab 8. Siyah (A) Mavi ( B ) Kırmızı (B) 446 GÖMÜLÜ SİSTEM TASARIMI Adım Motoru 8.1 Amaç Bu laboratuvarda LauchPad a dışarıdan bağlanacak adım motorunun dönme yönünü ve hızını kontrol eden programın yazılımı verilecektir. 8.2 Gerekli Malzeme

Detaylı

MODÜLER PIC DENEY SETİ

MODÜLER PIC DENEY SETİ MODÜLER PIC DENEY SETİ Deney seti hazırlanırken her seviyede kullanıcının yararlanabilmesi hedeflendi. PIC programlamaya yeni başlayan birinin ilk olarak deneyeceği bir butonla LED i yakıp söndürme işlemi

Detaylı

Ölçme Kontrol ve Otomasyon Sistemleri 8

Ölçme Kontrol ve Otomasyon Sistemleri 8 Ölçme Kontrol ve Otomasyon Sistemleri 8 Dr. Mehmet Ali DAYIOĞLU Ankara Üniversitesi Ziraat Fakültesi Tarım Makinaları ve Teknolojileri Mühendisliği Bölümü Yarıiletken teknolojisi Bugün kullanılan en önemli

Detaylı

Çizgi İzleyen Robot Yapımı

Çizgi İzleyen Robot Yapımı Çizgi İzleyen Robot Yapımı Elektronik Elektronik tasarım için yapılması gerek en önemli şey kullanılacak malzemelerin doğru seçilmesidir. Robotun elektronik aksamı 4 maddeden oluşur. Bunlar; 1. Sensörler

Detaylı

Robotik AKTUATÖRLER Motorlar: Çalışma prensibi

Robotik AKTUATÖRLER Motorlar: Çalışma prensibi Robotik AKTUATÖRLER Motorlar: Çalışma prensibi 1 Motorlar: Çalışma prensibi Motorlar: Çalışma prensibi 2 Motorlar: Çalışma prensibi AC sinyal kutupları ters çevirir + - AC Motor AC motorun hızı üç değişkene

Detaylı

MKT2012,Proje Tabanlı Mekatronik Eğitim Çalıştayı, 25-27 Mayıs 2012, Çankırı-Ilgaz, TÜRKĐYE

MKT2012,Proje Tabanlı Mekatronik Eğitim Çalıştayı, 25-27 Mayıs 2012, Çankırı-Ilgaz, TÜRKĐYE PIC 16F877A Mikro denetleyicisinin PLC olarak kullanılması PIC LDR Programlama Using PIC16F877A microcontroller for PLC programming PIC LDR Programming Murat BAŞKAN, Mustafa Eren GAZĐ, Kadir Has Üniversitesi

Detaylı

DERS NOTLARI. Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi

DERS NOTLARI. Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi DERS NOTLARI Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi Ders-3 11.10.2016 555-Zaman Entegresi 555 Zaman Entegre Devresi monastable multivibratör (asimetrik kare dalga osilatör), astable

Detaylı

Sınavında sık yapılan temel hatalar:

Sınavında sık yapılan temel hatalar: Sınavında sık yapılan temel hatalar: 1) İsim tamlamalarında hata yapılabiliyor. Aşağıda bir kaç örnekle doğru ve yanlış kullanımlar gösterilmiştir. Belirtili isim tamlaması: Hem tamlayan (1. isim) hem

Detaylı

PIC PROGRAMLANABİLİR MİKROİŞLEMCİ KULLANARAK USB PORTU ÜZERİNDEN VERİ TRANSFERİ

PIC PROGRAMLANABİLİR MİKROİŞLEMCİ KULLANARAK USB PORTU ÜZERİNDEN VERİ TRANSFERİ ISSN:1306-3111 e-journal of New World Sciences Academy 2009, Volume: 4, Number: 3, Article Number: 1A0032 ENGINEERING SCIENCES Received: November 2008 Accepted: June 2009 Series : 1A ISSN : 1308-7231 2009

Detaylı

Ölçme Kontrol ve Otomasyon Sistemleri 7

Ölçme Kontrol ve Otomasyon Sistemleri 7 Ölçme Kontrol ve Otomasyon Sistemleri 7 Dr. Mehmet Ali DAYIOĞLU Ankara Üniversitesi Ziraat Fakültesi Tarım Makinaları ve Teknolojileri Mühendisliği Bölümü 1. Anahtarlar 2. Solenoid 3. Röle 4. Transformatör

Detaylı

PROJE RAPORU. Proje adı: Pedalmatik 1 Giriş 2 Yöntem 3 Bulgular 6 Sonuç ve tartışma 7 Öneriler 7 Kaynakça 7

PROJE RAPORU. Proje adı: Pedalmatik 1 Giriş 2 Yöntem 3 Bulgular 6 Sonuç ve tartışma 7 Öneriler 7 Kaynakça 7 PROJE RAPORU Proje Adı: Pedalmatik Projemizle manuel vitesli araçlarda gaz, fren ve debriyaj pedallarını kullanması mümkün olmayan engelli bireylerin bu pedalları yönetme kolu (joystick) ile sol el işaret

Detaylı

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 ARDUINO DİJİTAL GİRİŞ-ÇIKIŞ KONTROLÜ DENEY SORUMLUSU Arş. Gör. Burak ULU ŞUBAT 2015 KAYSERİ

Detaylı

OTONOM ÇĐM BĐÇME MAKĐNESĐ GELĐŞTĐRĐLMESĐ DEVELOPING OF AUTONOMOUS LAWN MOVER. Danışman: Prof.Dr. Koray TUNÇALP, Marmara Üniversitesi Đstanbul

OTONOM ÇĐM BĐÇME MAKĐNESĐ GELĐŞTĐRĐLMESĐ DEVELOPING OF AUTONOMOUS LAWN MOVER. Danışman: Prof.Dr. Koray TUNÇALP, Marmara Üniversitesi Đstanbul OTONOM ÇĐM BĐÇME MAKĐNESĐ GELĐŞTĐRĐLMESĐ DEVELOPING OF AUTONOMOUS LAWN MOVER Danışman: Prof.Dr. Koray TUNÇALP, Marmara Üniversitesi Đstanbul Cihan ÇATALTEPE, Marmara Üniversitesi-Mekatronik Öğrt.4.Sınıf

Detaylı

KARADENİZ TEKNİK ÜNİVERSİTESİ Mühendislik Fakültesi Elektrik-Elektronik Mühendisliği Bölümü Power Electronic Circuits (Güç Elektroniği Devreleri)

KARADENİZ TEKNİK ÜNİVERSİTESİ Mühendislik Fakültesi Elektrik-Elektronik Mühendisliği Bölümü Power Electronic Circuits (Güç Elektroniği Devreleri) KARADENİZ TEKNİK ÜNİVERSİTESİ Mühendislik Fakültesi Elektrik-Elektronik Mühendisliği Bölümü Power Electronic Circuits (Güç Elektroniği Devreleri) 1. DENEYİN AMACI ÜÇ FAZ EVİRİCİ 3 Faz eviricilerin çalışma

Detaylı

ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOKULU ELEKTRİK VE ENERJİ BÖLÜMÜ ALTERNATİF ENERJİ KAYNAKLARI TEKNOLOJİSİ ELEKTRİK MAKİNALARI 12.

ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOKULU ELEKTRİK VE ENERJİ BÖLÜMÜ ALTERNATİF ENERJİ KAYNAKLARI TEKNOLOJİSİ ELEKTRİK MAKİNALARI 12. ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOKULU ELEKTRİK VE ENERJİ BÖLÜMÜ ALTERNATİF ENERJİ KAYNAKLARI TEKNOLOJİSİ ELEKTRİK MAKİNALARI 12. HAFTA 1 İçindekiler Fırçasız Doğru Akım Motorları 2 TANIMI VE ÖZELLİKLERİ

Detaylı

Çizgi İzleyen Robot Yapımı ve Teknik Bilgiler

Çizgi İzleyen Robot Yapımı ve Teknik Bilgiler Çizgi İzleyen Robot Yapımı ve Teknik Bilgiler ÇİZGİ İZLEYEN ROBOT NEDİR? Çizgi izleyen robot belirli bir yolu otonom olarak takip edebilen robottur. Bu yol siyah zemin üzerinde beyaz renkte ya da beyaz

Detaylı

18/12 Kutuplu Bir Anahtarlı Relüktans Motorun Tasarımı, İncelenmesi ve Kontrolü

18/12 Kutuplu Bir Anahtarlı Relüktans Motorun Tasarımı, İncelenmesi ve Kontrolü Fırat Üniv. Fen ve Müh. Bil. Dergisi Science and Eng. J of Fırat Univ. 9 (), 9-, 7 9 (), 9-, 7 8/ Kutuplu Bir Anahtarlı Relüktans Motorun Tasarımı, İncelenmesi ve Kontrolü Zeki OMAÇ, Hasan KÜRÜM ve Ahmet

Detaylı

ASENKRON MOTORLARIN DARBE GENİŞLİK MODÜLASYONLU (DGM) İNVERTER ÜZERİNDEN BİLGİSAYAR DESTEKLİ HIZ DENETİMİNİN DENEYSEL YAKLAŞIMI

ASENKRON MOTORLARIN DARBE GENİŞLİK MODÜLASYONLU (DGM) İNVERTER ÜZERİNDEN BİLGİSAYAR DESTEKLİ HIZ DENETİMİNİN DENEYSEL YAKLAŞIMI ASENKRON MOTORLARIN DARBE GENİŞLİK MODÜLASYONLU (DGM) İNVERTER ÜZERİNDEN BİLGİSAYAR DESTEKLİ HIZ DENETİMİNİN DENEYSEL YAKLAŞIMI Ayhan GÜN, Yılmaz ASLAN, A. İhsan ÇANAKOĞLU Dumlupınar Üniversitesi, Mühendislik

Detaylı

1. YARIYIL / SEMESTER 1 2. YARIYIL / SEMESTER 2

1. YARIYIL / SEMESTER 1 2. YARIYIL / SEMESTER 2 T.C. NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK VE MİMARLIK FAKÜLTESİ, ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ, 2017-2018 AKADEMİK YILI ÖĞRETİM PLANI T.C. NECMETTIN ERBAKAN UNIVERSITY ENGINEERING AND ARCHITECTURE

Detaylı

Temel elektronik laboratuvarı olarak kullanılmaktadır. Bu laboratuvarda ders alan öğrencilerimiz;

Temel elektronik laboratuvarı olarak kullanılmaktadır. Bu laboratuvarda ders alan öğrencilerimiz; L4 Laboratuvarı Temel elektronik laboratuvarı olarak kullanılmaktadır. Bu laboratuvarda ders alan öğrencilerimiz; Temel pasif devre elemanlarını öğrenir. Temel Elektrik-Elektronik büyüklükleri ve elemanların

Detaylı

Adres Yolu (Address Bus) Bellek Birimi. Veri Yolu (Databus) Kontrol Yolu (Control bus) Şekil xxx. Mikrodenetleyici genel blok şeması

Adres Yolu (Address Bus) Bellek Birimi. Veri Yolu (Databus) Kontrol Yolu (Control bus) Şekil xxx. Mikrodenetleyici genel blok şeması MİKRODENETLEYİCİLER MCU Micro Controller Unit Mikrodenetleyici Birimi İşlemci ile birlikte I/O ve bellek birimlerinin tek bir entegre olarak paketlendiği elektronik birime mikrodenetleyici (microcontroller)

Detaylı

ARŞİV DÜZENLEYEN ROBOTUN SENSÖR VERİLERİNİ YORUMLAYACAK VE ANA SUNUCU İLE İLETİŞİMİNİ DÜZENLEYECEK ARABİRİMİN GELİŞTİRİLMESİ

ARŞİV DÜZENLEYEN ROBOTUN SENSÖR VERİLERİNİ YORUMLAYACAK VE ANA SUNUCU İLE İLETİŞİMİNİ DÜZENLEYECEK ARABİRİMİN GELİŞTİRİLMESİ ARŞİV DÜZENLEYEN ROBOTUN SENSÖR VERİLERİNİ YORUMLAYACAK VE ANA SUNUCU İLE İLETİŞİMİNİ DÜZENLEYECEK ARABİRİMİN GELİŞTİRİLMESİ YASİN BEKTAŞ MERSİN ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ELEKTRİK-ELEKTRONİK

Detaylı

Mehmet Gedikpınar Accepted: January 2011. ISSN : 1308-7231 mgedikpinar@firat.edu.tr 2010 www.newwsa.com Elazig-Turkey

Mehmet Gedikpınar Accepted: January 2011. ISSN : 1308-7231 mgedikpinar@firat.edu.tr 2010 www.newwsa.com Elazig-Turkey ISSN:1306-3111 e-journal of New World Sciences Academy 2011, Volume: 6, Number: 1, Article Number: 1A0159 NGINRING SCINCS Received: October 2010 Mehmet Gedikpınar Accepted: January 2011 Ramazan Öztürk

Detaylı

T.C. GEBZE YÜKSEK TEKNOLOJİ ENSTİTÜSÜ

T.C. GEBZE YÜKSEK TEKNOLOJİ ENSTİTÜSÜ T.C. GEBZE YÜKSEK TEKNOLOJİ ENSTİTÜSÜ Bilgisayar Mühendisliği Bölümü BLDC Motor Driver & Controller Ebubekir AKGÜL Danışman Doç. Dr. Erkan ZERGEROĞLU Ocak, 2014 Gebze, KOCAELİ T.C. GEBZE YÜKSEK TEKNOLOJİ

Detaylı

2017 MÜFREDATI MÜHENDİSLİK FAKÜLTESİ / ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ EĞİTİM PLANI

2017 MÜFREDATI MÜHENDİSLİK FAKÜLTESİ / ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ EĞİTİM PLANI 2017 MÜFREDATI MÜHENDİSLİK FAKÜLTESİ / ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ EĞİTİM PLANI SINIF: 1 DÖNEM: GÜZ 200111 TEMEL BİLGİ TEKNOLOJİSİ KULLANIMI USE OF FUNDAMENTAL INFORMATION TECHNOLOGY 2017 2 0 2 2

Detaylı

11.1. ELEKTRONİK ATEŞLEME SİSTEMLERİ ( ELECTRONIC IGNATION )

11.1. ELEKTRONİK ATEŞLEME SİSTEMLERİ ( ELECTRONIC IGNATION ) 11. DİĞER ELEKTRONİK SİSTEMLER 11.1. ELEKTRONİK ATEŞLEME SİSTEMLERİ ( ELECTRONIC IGNATION ) Elektronik ateşlemenin diğerlerinden farkı, motorun her durumda ateşleme zamanlamasının hassas olarak hesaplanabilmesidir.

Detaylı

SIMULATION OF SPEED CONTROL FOR TRAVELLING WAVE ULTRASONIC MOTOR

SIMULATION OF SPEED CONTROL FOR TRAVELLING WAVE ULTRASONIC MOTOR 5. Uluslararası İleri Teknolojiler Sempozyumu (IATS 09), 13 15 Mayıs 2009, Karabük, Türkiye YÜRÜYEN DALGA TİP ULTRASONİK MOTOR HIZ DENETİMİ BENZETİMİ SIMULATION OF SPEED CONTROL FOR TRAVELLING WAVE ULTRASONIC

Detaylı

CNC MACH breakout board user manual V8 type

CNC MACH breakout board user manual V8 type CNC MACH breakout board user manual V8 type 1 Catalogue CNC Router breakout board V8 type user manual... Hata! Yer işareti tanımlanmamış. 1) Brief introduction:...3 2) Breakout board drawing:...4 3) Wiring:...5

Detaylı

Android Cihazlar ile DC Motor Kontrolü. DC Motor Control via Anroid Devices

Android Cihazlar ile DC Motor Kontrolü. DC Motor Control via Anroid Devices Android Cihazlar ile DC Motor Kontrolü DC Motor Control via Anroid Devices Yalçın Albayrak, Kaan Karamancı, Turan Çakıl, İbrahim Eren Elektrik-Elektronik Mühendisliği Bölümü, Akdeniz Üniversitesi yalbayrak@akdeniz.edu.tr,

Detaylı

00322 ELECTRICAL MACHINES-II Midterm Exam

00322 ELECTRICAL MACHINES-II Midterm Exam Name : ID : Signature : 00322 ELECTRICAL MACHINES-II Midterm Exam 20.04.2017 S.1) S.2) S.3) S.4) A shunt DC motor is rated 7.5kW, 250 V and is connected to 230V source. The armature resistance is Ra 0.2,

Detaylı

DOKUMANLAR

DOKUMANLAR DOKUMANLAR https://www.pickat.org Bu belgeyi yukarıdaki karekodu telefonunuza taratarak veya aşağıdaki linkten indirebilirsiniz. Link sürekli güncellenmektedir. https://drive.google.com/file/d/1wyi3ejzvge9vbu0ujklajnsjukbfldv/view?usp=sharing

Detaylı

BİLGİSAYAR BİLİMİ DERSİ (KUR-2)

BİLGİSAYAR BİLİMİ DERSİ (KUR-2) BİLGİSAYAR BİLİMİ DERSİ (KUR-2) ROBOT PROGRAMLAMA 2.1.1. Robot Mimarisi 2.1.2. Robot Türleri ve Eğitsel Amaçlı Robotlar 2.1.3. Eğitsel Robotta Mekanik Bileşenler 2.1.4. Eğitsel Robotta Elektromekanik Bileşenler

Detaylı

SABİT MIKNATISLI MOTORLAR ve SÜRÜCÜLERİ

SABİT MIKNATISLI MOTORLAR ve SÜRÜCÜLERİ SABİT MIKNATISLI MOTORLAR ve SÜRÜCÜLERİ 1-Step Motorlar - Sabit mıknatıslı Step Motorlar 2- Sorvo motorlar - Sabit mıknatıslı Servo motorlar 1- STEP (ADIM) MOTOR NEDİR Açısal konumu adımlar halinde değiştiren,

Detaylı

BAR. Linear and functional: BAR

BAR. Linear and functional: BAR BAR 283 BAR Lineer ve fonksiyonel: BAR BAR, lineer formda bir ışık istenen vurgu aydınlatması uygulamaları için özel olarak geliştirildi. Ürünün optik lensli versiyonu ışık üstünde mükemmel bir kontrol

Detaylı

İÇİNDEKİLER IV LİSANS BİTİRME PROJESİ ONAY FORMU II ÖNSÖZ III

İÇİNDEKİLER IV LİSANS BİTİRME PROJESİ ONAY FORMU II ÖNSÖZ III İÇİNDEKİLER LİSANS BİTİRME PROJESİ ONAY FORMU II ÖNSÖZ III İÇİNDEKİLER IV ÖZET V SEMBOLLER VE KISALTMALAR VI 1. GİRİŞ 1 2. TEORİK ALTYAPI 2 2.1. DA Motorlar 2 2.1.1. Parçalarının Görevleri 2 2.1.2. Doğru

Detaylı

Bölüm 3. Sayısal Elektronik. Universal (Genel) Geçitler 10/11/2011 TEMEL MANTIK GEÇİTLERİ. Temel Mantık Geçitleri. Temel Mantık Geçitleri

Bölüm 3. Sayısal Elektronik. Universal (Genel) Geçitler 10/11/2011 TEMEL MANTIK GEÇİTLERİ. Temel Mantık Geçitleri. Temel Mantık Geçitleri // Sayısal Elektronik Elektronik Teknolojisi programı rd. Doç. Dr. Mustafa Engin - ölüm 3 TEMEL MNTIK GEÇİTLERİ Temel Mantık Geçitleri VE (ND) Geçidi VE (OR) Geçidi DEĞİL (NOT) Geçidi Temel Mantık Geçitleri

Detaylı

Doç. Dr. Cüneyt BAYILMIŞ

Doç. Dr. Cüneyt BAYILMIŞ BSM 460 KABLOSUZ ALGILAYICI AĞLAR Doç. Dr. Cüneyt BAYILMIŞ Nesnelerin İnterneti 1 BSM 460 KABLOSUZ ALGILAYICI AĞLAR 5. Hafta KABLOSUZ ALGILAYICI AĞLAR Nesnelerin İnterneti 2 Kablosuz Algılayıcı Ağlar (Wireless

Detaylı

Düzce Üniversitesi Bilim ve Teknoloji Dergisi

Düzce Üniversitesi Bilim ve Teknoloji Dergisi Düzce Üniversitesi Bilim ve Teknoloji Dergisi, 4 (2016) 634-639 Düzce Üniversitesi Bilim ve Teknoloji Dergisi Araştırma Makalesi Fotovoltaik Paneller İçin Güneş Takip Edebilen Basit Ve Ekonomik Bir Sistem

Detaylı

Yedi Karat Kullanım Klavuzu. Yedi Karat nedir? Neden Karat?

Yedi Karat Kullanım Klavuzu. Yedi Karat nedir? Neden Karat? Yedi Karat Kullanım Klavuzu Yedi Karat nedir? Karat, fiziksel dünya ile iletişim ve etkileşim kurulabilmesini sağlayan, elektronik prototip geliştirme kartıdır. Karat, tek başına çalışabilen interaktif

Detaylı

ELEKTRİKSEL EYLEYİCİLER

ELEKTRİKSEL EYLEYİCİLER ELEKTRİKSEL EYLEYİCİLER Eyleyiciler (Aktuatörler) Bir cismi hareket ettiren veya kontrol eden mekanik cihazlara denir. Elektrik motorları ve elektrikli sürücüler Hidrolik sürücüler Pinomatik sürücüler

Detaylı

ROBOTECH-10 ARDUINO UYGULAMA KARTI. SENSÖR ve ROBOT TEKNOLOJİLERİ GELİŞTİRME KARTI

ROBOTECH-10 ARDUINO UYGULAMA KARTI. SENSÖR ve ROBOT TEKNOLOJİLERİ GELİŞTİRME KARTI ROBOTECH-10 ARDUINO UYGULAMA KARTI SENSÖR ve ROBOT TEKNOLOJİLERİ GELİŞTİRME KARTI 1. Genel Tanım Robotech-10 kartı, Teknik okullarda, üniversitelerde ve robot kulüpleri olan liseler ile bu işi hobi olarak

Detaylı

Robot Bilimi. Robot Aktüatörler (Çıkış Elemanları, Uygulayıcılar) Öğr. Gör. M. Ozan AKI. r1.0

Robot Bilimi. Robot Aktüatörler (Çıkış Elemanları, Uygulayıcılar) Öğr. Gör. M. Ozan AKI. r1.0 Robot Bilimi Robot Aktüatörler (Çıkış Elemanları, Uygulayıcılar) Öğr. Gör. M. Ozan AKI r1.0 Robot Aktüatörler Aktüatör, İngilizce act (eylem, işini yapmak) kelimesinden gelmektedir Robotun fiziksel olarak

Detaylı

TRANSFORMATÖRLERDE SARIM SAYISININ BULUNMASI

TRANSFORMATÖRLERDE SARIM SAYISININ BULUNMASI DENEY-2 TRANSFORMATÖRLERDE SARIM SAYISININ BULUNMASI 2. Teorik Bilgi 2.1 Manyetik Devreler Bir elektromanyetik devrede manyetik akı, nüveye sarılı sargıdan geçen akım tarafından üretilir. Bu olay elektrik

Detaylı

1. YARIYIL / SEMESTER 1 2. YARIYIL / SEMESTER 2

1. YARIYIL / SEMESTER 1 2. YARIYIL / SEMESTER 2 T.C. NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK VE MİMARLIK FAKÜLTESİ, ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ, 2018-2019 AKADEMİK YILI ÖĞRETİM PLANI T.C. NECMETTIN ERBAKAN UNIVERSITY ENGINEERING AND ARCHITECTURE

Detaylı

Y Analog - Dijital Haberleşme Eğitim Seti Analog - Digital Communication Training Set

Y Analog - Dijital Haberleşme Eğitim Seti Analog - Digital Communication Training Set Genel Özellikler General Specifications Analog Dijital Haberleşme Eğitim Seti analog ve dijital haberleşme ile ilgili uygulamaların yapılabilmesi amacıyla tasarlanmış Ana Ünite ve 13 Adet (9 adet standart

Detaylı

1. YARIYIL / SEMESTER 1

1. YARIYIL / SEMESTER 1 T.C. NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK VE MİMARLIK FAKÜLTESİ, MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ, 2017-2018 AKADEMİK YILI ÖĞRETİM PLANI T.C. NECMETTIN ERBAKAN UNIVERSITY ENGINEERING AND ARCHITECTURE

Detaylı

Mobile Surveillance Vehicle

Mobile Surveillance Vehicle Tecnical Specificca ons OIS is a mobile system which enables to observed related area. How it Works? Thanks to its highly productive solar panels, according to high ef ciency panelling can serve the purpose

Detaylı

PLC & HMI CONTROLLER PLC HMI

PLC & HMI CONTROLLER PLC HMI & HMI CONTROLLER PL I C HM MC80 MC80 SERİSİ ÖZELLİKLER 24V DC besleme 10,14,16,24,36 Kanal 24V DC PNP/NPN giriş 6,10,14,16,24 Kanal 230V AC Röle ve Trasnsistor çıkışı 16 dijit şifre koruma özelliği MODBUS

Detaylı

nisantasi.edu.tr ELEKTRİK ELEKTRONİK TERMİNOLOJİSİ SÖZLÜĞÜ

nisantasi.edu.tr ELEKTRİK ELEKTRONİK TERMİNOLOJİSİ SÖZLÜĞÜ Aberration: Sapma, bozunum AC Motor: Alternatif Akım Motoru AC/AC converter: Alternatif Akım Alternatif Akım Dönüştürücü Active Filter: Aktif Süzgeç Active Mixer: Aktif Karıştıcı Active Power: Aktif Güç

Detaylı

ÜÇ FAZLI ALTI SEVİYELİ PWM İNVERTER İLE BESLENEN ASENKRON MOTORUN MATLAB/SİMULİNK UYGULAMASI. Hüseyin GÜZELCİK 1,

ÜÇ FAZLI ALTI SEVİYELİ PWM İNVERTER İLE BESLENEN ASENKRON MOTORUN MATLAB/SİMULİNK UYGULAMASI. Hüseyin GÜZELCİK 1, ÜÇ FAZLI ALTI SEVİYELİ PWM İNVERTER İLE BESLENEN ASENKRON MOTORUN MATLAB/SİMULİNK UYGULAMASI Hüseyin GÜZELCİK 1, 1 Elektrik-Elektronik Mühendisliği Bölümü Karadeniz Teknik Üniversitesi hguzelcik@ktu.edu.tr

Detaylı

DA-DA BUCK, BOOST VE BUCK-BOOST KONVERTER DENEY SETĐ TASARIMI VE UYGULAMASI

DA-DA BUCK, BOOST VE BUCK-BOOST KONVERTER DENEY SETĐ TASARIMI VE UYGULAMASI MYO-ÖS 2010- Ulusal Meslek Yüksekokulları Öğrenci Sempozyumu 21-22 EKĐM 2010-DÜZCE DA-DA BUCK, BOOST VE BUCK-BOOST KONVERTER DENEY SETĐ TASARIMI VE UYGULAMASI Muhammed ÖZTÜRK Engin YURDAKUL Samet EŞSĐZ

Detaylı

Giyilebilir Teknolojiler ve Solar Enerjili Şapka Uygulaması

Giyilebilir Teknolojiler ve Solar Enerjili Şapka Uygulaması Giyilebilir Teknolojiler ve Solar Enerjili Şapka Uygulaması 1 Necip Fazıl Bilgin, 2 Bülent Çobanoğlu and 3 Fatih Çelik 2 Faculty of Technology, Department of Mechatronic Engineering, Sakarya University,

Detaylı

Sürekli Mıknatıslı Senkron Motorun Sayısal İşaret İşlemcisi ile Histerezis Akım Denetleyicili Alan Yönlendirme Kontrolünün Gerçekleştirilmesi

Sürekli Mıknatıslı Senkron Motorun Sayısal İşaret İşlemcisi ile Histerezis Akım Denetleyicili Alan Yönlendirme Kontrolünün Gerçekleştirilmesi Fırat Üniv. Mühendislik Bilimleri Dergisi Fırat Univ. Journal of Engineering 27(1), 15-22, 2015 27(1), 15-22, 2015 Sürekli Mıknatıslı Senkron Motorun Sayısal İşaret İşlemcisi ile Histerezis Akım Denetleyicili

Detaylı

PSoC 1 ile Elektronik Uygulamaları için Deney Seti Tasarımı ve Gerçekleştirilmesi

PSoC 1 ile Elektronik Uygulamaları için Deney Seti Tasarımı ve Gerçekleştirilmesi 6 th International Advanced Technologies Symposium (IATS 11), 16-18 May 2011, Elazığ, Turkey PSoC 1 ile Elektronik Uygulamaları için Deney Seti Tasarımı ve Gerçekleştirilmesi K. Cüneray 1, M.R. Canal 2

Detaylı

DESIGN AND IMLEMENTATION OF A ROBOT THAT LEARNS THE MAZE

DESIGN AND IMLEMENTATION OF A ROBOT THAT LEARNS THE MAZE 5. Uluslararası İleri Teknolojiler Sempozyumu (IATS 09), 13-15 Mayıs 2009, Karabük, Türkiye LABİRENT ÖĞRENEN ROBOT TASARIMI VE GERÇEKLEŞTİRİLMESİ DESIGN AND IMLEMENTATION OF A ROBOT THAT LEARNS THE MAZE

Detaylı

ELEKTRİK MÜHENDİSLİĞİ MÜFREDAT REVİZYONU

ELEKTRİK MÜHENDİSLİĞİ MÜFREDAT REVİZYONU ELEKTRİK MÜHENDİSLİĞİ MÜFREDAT REVİZYONU I. YARIYIL MATEMATİK I 3+1 Zorunlu 6 FİZİK I 2+1 Zorunlu 4 KİMYA 2+1 Zorunlu 4 ELEKTRİK MÜHENDİSLİĞİNE GİRİŞ 2+0 Zorunlu 2 TEKNİK RESİM 1+2 Zorunlu 5 TÜRK DİLİ

Detaylı

Bölüm 11 PWM Modülatörleri

Bölüm 11 PWM Modülatörleri Bölüm PWM Modülatörleri. AMAÇ. µa7 kullanarak bir darbe genişlik modülatörünün gerçekleştirilmesi.. LM555 in karakteristiklerinin ve temel devrelerinin incelenmesi. 3. LM555 kullanarak bir darbe genişlik

Detaylı

DC motorların sürülmesi ve sürücü devreleri

DC motorların sürülmesi ve sürücü devreleri DC motorların sürülmesi ve sürücü devreleri Armatür (endüvi) gerilimini değiştirerek devri ayarlamak mümkündür. Endüvi akımını değiştirerek torku (döndürme momentini) ayarlamak mümkündür. Endüviye uygulanan

Detaylı

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 MEKATRONİK SİSTEMLERDE KULLANILAN DİĞER ELEMANLARIN TANITILMASI DENEY SORUMLUSU Arş. Gör.

Detaylı

ĠġLEMSEL YÜKSELTEÇLER (ELEKTRONİK II)

ĠġLEMSEL YÜKSELTEÇLER (ELEKTRONİK II) ĠġLEMSEL YÜKSELTEÇLER (ELEKTRONİK II) - + İsmail Serkan ÜNCÜ ŞUBAT-2013 DERS KAYNAKLARI http://www.softwareforeducation.com/wikileki/index.php/inverting_amplifier Elektronik Devre Tasarımında. ~ OP AMP

Detaylı

DESIGN AND IMPLEMENTATION OF MULTIMETER BASED ON MICROCONTROLLER

DESIGN AND IMPLEMENTATION OF MULTIMETER BASED ON MICROCONTROLLER 5. Uluslararası İleri Teknolojiler Sempozyumu (IATS 09), 13-15 Mayıs 2009, Karabük, Türkiye MİKRODENETLEYİCİ TABANLI MULTİMETRE TASARIMI VE GERÇEKLEŞTİRİLMESİ DESIGN AND IMPLEMENTATION OF MULTIMETER BASED

Detaylı

LİNEER ANAHTARLAMALI RELÜKTANS MOTORLA ASANSÖR TAHRİKİ

LİNEER ANAHTARLAMALI RELÜKTANS MOTORLA ASANSÖR TAHRİKİ Asansör Sempozyumu 25-27 Eylül 2014 // İzmir 85 LİNEER ANAHTARLAMALI RELÜKTANS MOTORLA ASANSÖR TAHRİKİ Mahir Dursun 1, Süleyman Ateşoğlu 2 1 Gazi Üniversitesi Teknoloji Fakültesi, 2 Türkiye İstatistik

Detaylı

HP- SERİSİ YANGIN HİDROFOR PANOLARI FIRE FIGHTING CONTROL PANELS

HP- SERİSİ YANGIN HİDROFOR PANOLARI FIRE FIGHTING CONTROL PANELS HP- SERİSİ YANGIN HİDROFOR PANOLARI FIRE FIGHTING CONTROL PANELS HP SERİSİ YANGIN HİDROFOR PANOLARI Standart Özellikler 0,75 kw - 7,5 kw Direk Yolverme 7,5 kw - 560 kw Yıldız-Üçgen Yolverme NFPA Standartlarına

Detaylı

Yükseltici DA Kıyıcılar, Gerilim beslemeli invertörler / 12. Hafta

Yükseltici DA Kıyıcılar, Gerilim beslemeli invertörler / 12. Hafta E sınıfı DC kıyıcılar; E sınıfı DC kıyıcılar, çift yönlü (4 bölgeli) DC kıyıcılar olarak bilinmekte olup iki adet C veya iki adet D sınıfı DC kıyıcının birleşiminden oluşmuşlardır. Bu tür kıyıcılar, iki

Detaylı

KZ MEKATRONİK. Temel Elektrik Elektronik Eğitim Seti Ana Ünite

KZ MEKATRONİK. Temel Elektrik Elektronik Eğitim Seti Ana Ünite Ana Ünite ana ünitesi, analog uygulamalar, dijital uygulamalar ve temel devre analizi uygulamalarının yapılabileceği şekilde çantalı ve masa üstü kullanıma uygun yapıda tasarlanmıştır. İsteğe bağlı olarak

Detaylı

Üç Fazlı Sincap Kafesli bir Asenkron Motorun Matlab/Simulink Ortamında Dolaylı Vektör Kontrol Benzetimi

Üç Fazlı Sincap Kafesli bir Asenkron Motorun Matlab/Simulink Ortamında Dolaylı Vektör Kontrol Benzetimi Araştırma Makalesi Adıyaman Üniversitesi Mühendislik Bilimleri Dergisi (05) 6-7 Üç Fazlı Sincap Kafesli bir Asenkron Motorun Matlab/Simulink Ortamında Dolaylı Vektör Kontrol Benzetimi Ahmet NUR *, Zeki

Detaylı

5.Eğitim E205. PIC16F628 ve PIC16F877 Hakkında Genel Bilgi IF THEN ELSE ENDIF HIGH-LOW GOTO-END- PAUSE Komutları Tanıtımı ve Kullanımı PIC16F628:

5.Eğitim E205. PIC16F628 ve PIC16F877 Hakkında Genel Bilgi IF THEN ELSE ENDIF HIGH-LOW GOTO-END- PAUSE Komutları Tanıtımı ve Kullanımı PIC16F628: 5.Eğitim E205 PIC16F628 ve PIC16F877 Hakkında Genel Bilgi IF THEN ELSE ENDIF HIGH-LOW GOTO-END- PAUSE Komutları Tanıtımı ve Kullanımı PIC16F628: PIC16F628 18 pine sahiptir.bu pinlerin 16 sı giriş / çıkış

Detaylı

PIC TABANLI FIRÇASIZ DC MOTOR SÜRÜCÜ TASARIMI. Ozan KARAKULAK*, Oğuz YAZ**, Erman KÖYBAŞI***, Sabri BİÇAKCI****, Can CANDAN*****, Davut AKDAŞ******

PIC TABANLI FIRÇASIZ DC MOTOR SÜRÜCÜ TASARIMI. Ozan KARAKULAK*, Oğuz YAZ**, Erman KÖYBAŞI***, Sabri BİÇAKCI****, Can CANDAN*****, Davut AKDAŞ****** PIC TABANLI FIRÇASIZ DC MOTOR SÜRÜCÜ TASARIMI Ozan KARAKULAK*, Oğuz YAZ**, Erman KÖYBAŞI***, Sabri BİÇAKCI****, Can CANDAN*****, Davut AKDAŞ****** * ozankarakulak@bau.edu.tr Balıkesir Üniversitesi, Elektrik-Elektronik

Detaylı

BESLEME KARTI RF ALICI KARTI

BESLEME KARTI RF ALICI KARTI BESLEME KARTI Araç üzerinde bulunan ve tüm kartları besleyen ünitedir.doğrudan Lipo batarya ile beslendikten sonra motor kartına 11.1 V diğer kartlara 5 V dağıtır. Özellikleri; Ters gerilim korumalı Isınmaya

Detaylı