Hamming Kodlamasının FPGA Ortamında Gerçekleştirilmesi

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "Hamming Kodlamasının FPGA Ortamında Gerçekleştirilmesi"

Transkript

1 6 th International Advanced Technologies Symposium (IATS ), 6-8 May 2, Elazığ, Turkey Hamming Kodlamasının FPGA Ortamında Gerçekleştirilmesi G. Yıldırım T. Tuncer 2 Y. Tatar 2 Devlet Su İşleri, gyildirim@dsi.gov.tr 2 University of Firat, Elazig/Turkey, {ttuncer@firat.edu.tr, ytatar@firat.edu.tr} Implementation of Hamming Coding on FPGA Environment Abstract Hamming coding is a linear error correction coding. In this paper a real-time Hamming coding application is realized using VHDL (Very High Speed Integrated Circuit Hardware Description Language) in FPGA environment. The sender obtains 4-bit Hamming code from 4-bit data and sends this -bit data to the receiver. According to the received and generated Hamming code the corrupt bits are detected and corrected in real-time. V Keywords Hamming Code, VHDL, FPGA I. GİRİŞ ERI paketlerinin iletilmesinde verinin doğruluğunu ve bütünlüğünü sağlamak zorunluluğu vardır. Verinin doğruluğunu sağlamak için iletildiği ortamın gürültüsüz olması istenir. Ancak gürültüsüz bir ortam oluşturmak zor ve maliyetlidir. Gürültü gönderilen veride değişikliklere sebep olur. Bu problemin üstesinden gelmek için CRC (Cyclic Redundancy Check, LRC (Longitudial Redundancy Check), Hamming gibi hata sezme ve düzeltme kodları geliştirilmiştir. Hata sezme ve düzeltme işlemlerinin hepsi original veri bitlerine ek bitler eklenmesini gerektirir.. Bu da kullanıcıya sunulan veri kapasitesinin düşmesine yol açar. En basit hata sezme metodu parite kontrol yöntemidir. Tek bir parite biti, bir bitlik hatayı sezer fakat hatalı biti düzeltemez, 2 bitin bozulması durumunuda hem sezemez hemde düzeltemez. Richard Hamming tarafından parite kontrol metodu geliştirilerek Hamming kodlama metodu önerilmiştir. Hamming kodu, veri iletiminde bir birden fazla bitlik hatayı bulup düzeltebilen bir kodlamadır [3]. Bu bildiride; gerçek zamanlı Hamming kodlama ve kod çözme işleminin FPGA platformunda gerçekleştirilmesi açıklanmıştır. Bu amaç için VHDL donanım tanımlama dili kullanılmıştır. VHDL dilinin basitliği ve FPGA nın esnekliği bu uygulama için iyi bir ortam sunmaktadır. İlk olarak 7 bitlik bir orijinal (ham) veriden 4 bitlik hamming kodları elde edilerek bitlik iletilecek veri elde edilmiştir. Elde edilen bitlik veri göndericiden seri olarak alıcıya gönderilmiştir. Daha sonra alıcı bitlik veriden 7 bitlik orijinal veriyi ve 4 bitlik hamming kodlarını elde etmektedir. Elde edilen hamming kodu, alınan hamming kodu ile karşılaştırılmıştır. Karşılaştırma sonucunda elde edilen değer, bitlik ham verideki bozulan biti ifade eder. Bozulan bit alıcı tarafta düzeltilerek orijinal veri elde edilir. Bahsedilen bu işlemlerin FPGA ortamında gerçek zamanlı olarak başarılması için yapılan çalışmalar ilerleyen bölümlerde sırayla açıklanacaktır. Uygulama, Altera firmasının üretimi olan Cyclone IVE FPGA platformu, Quartus II yazılımı ve VHDL programlama dili kullanılarak yapılmıştır. II. HAMMİNG KODLAMASI Hamming yönteminde veri iletilirken oluşabilecek tek bitlik veya daha fazla hatayı algılamak için parite bitleri kullanılır. İletilecek verinin; n =,2,3 olmak üzere 2 n numaralı bitleri yani, 2, 4, 8 bitleri parite bitleri olarak kullanılır. Geriye kalan bitler ise orijinal verinin yerleştirileceği bitlerdir. Parite bitlerinin amacı birkaç belirli bitin denetimini sağlamaktır. Diğer bir ifade ile bu parite bitlerin sayısı oluşabilecek hatanın konumunu belirlemek için kullanılır [2]. Hamming kodlaması, H (b,v,h) ile gösterilir. İletilecek toplam bit uzunluğu b ile, orijinal verinin uzunluğunu v ile, düzeltilebilecek bit sayısı ise h ile ifade edilir. Burada b nin değeri v nin değerinden büyük olmalıdır. Dolayısıyla parite bitlerinin sayısı da b ile v arasındaki farka eşittir. Parite bitleri b+ farklı durumu saptayabilmelidir. Bu durumda parite bitlerinin sayısı bulunurken önce ham verinin uzunluğuna bakılmalı ve oluşabilecek durum sayısı belirlenmelidir. Örneğin, 7 bitlik veriler kodlanırken 3 bitlik parite bitleri ile oluşabilecek durumlar saptanabilir. Ancak toplam bit sayısı olacağından buradaki 3 bitlik parite bitleri yetersiz kalacaktır. Parite bitlerinin kombinasyon sayısı en az iletilecek veri boyutundan bir fazla olmalıdır. Yani += farklı durumu saptayabilmesi için parite bit sayısının 4 olması yeterli olacaktır. Böylelikle 7 bitlik hamming kodlaması H(,7,) ile ifade edilir.[2] Yukarıda belirtildiği gibi, 7 bitlik bir orijinal veriye 4 parite biti eklendiğinde, parite bitleri, 2, 4 ve 8 nolu bitler olacaktır. Daha kolay anlaşılması bakımından bu bildiride parite bitlerinin isimlendirilmesi p, p2, p4, olarak yapılmıştır. Şekil parite bitlerinin iletilecek kodlanmış verideki konumlarını şekil 2 ise iletilecek verideki orijinal verinin konumlarını göstermektedir[2]. Ayrıca parite bitlerinin indis değerleri bir sonraki parite bitinin iletilecek veride hangi 28

2 G.Yıldırım, T.Tuncer, Y. Tatar konumda olacağını belirler. Örneğin. parite bitinden sonra (+) 2. parite biti, 2.parite bitinden sonraki parite biti (2+2) 4. parite biti olacaktır. İletilecek veride parite bitlerinin denetleyeceği veri bitleri ise aşağıdaki gibi olacaktır. p: Birinci bitten başlanılır, bir bit kontrol edilir ve bir bit atlayarak bir sonraki bit alınır. Verinin son bitine kadar bu işlem devam ettirilerek ilgili bitler elde edilir. Şekil 3 te gösterildiği gibi p parite biti, 3, 5, 7, 9 ve nolu bitlerin exor lanmasıyla elde edilir. p2: İkinci bitten başlanılır, iki bit alınır daha sonra iki bit atlanılıp sonraki iki bit alınacak şekilde devam edinilir. Şekil 4 te gösterildiği gibi p2 parite biti, 3, 6, 7, ve nolu bitlerin exor lanmasıyla elde edilir. p4: 4 nolu bitten başlanılır, arka arkaya gelen 4 bit kontrol edilir, daha sonra 4 bit atlanılır ve sonraki 4 bit kontrol edilecek şekilde devam edilir. Şekil 5 te gösterildiği gibi p4 parite biti, 5, 6 ve 7 nolu bitlerin exor lanmasıyla elde edilir. : 8 nolu bitten başlanılır, arka arkaya gelen 8 bit kontrol edilir, daha sonra 8 bit atlanılır ve sonraki 8 bit alınacak şekilde devam edinilir. Şekil 6 da gösterildiği gibi parite biti ise 9,, nolu bitlerin exor lanmasıyla elde edilir. d7 d6 9 d5 8 7 d4 6 d3 5 d2 4 p4 3 d 2 p2 Şekil : Parite bitlerinin yerleşimleri İletilecek verinin olması durumunda elde edilecek parite bitleri ve iletilecek veri Şekil 7 de gösterildiği gibi olacaktır p Şekil 7: Kodlanmış verinin genel görünümü Yukarıda bahsedilen işlemler sonucunda bitlik kodlanmış veri alıcıya gönderilir. Alıcı kendi tarafında bir kod çözümlemesi yaparak gelen verinin bozulup bozulmadığını kontrol eder. Alıcıdaki bu kontrol işlemi; gelen bitlik veriden, parite bitlerinin ve kontrol ettikleri ilgili data bitlerinin tablo e göre exor lanmasıdır. Karşılaştırma sonucunda elde edilen değer sıfır ise veride herhangi bir bozulma yoktur, sıfırdan farklı ise alınan veride bozulma vardır. Kontrol sonucunda elde edilen 4 bitlik sözcük değeri (MSB biti P8 olacak şekilde P8P4P2P), alınan verideki hangi bitin bozulduğunu ikilik sayı sisteminde belirler. Örneğin kodlanmış veride nolu bitin yolda bozulduğunu ve alıcı tarafa verinin şeklinde ulaştığı kabul edilirse, Tablo deki şekilde karşılaştırma işlemiyle; P=, P2=, P4=, P8= olarak parite bit değerleri kontrol edilir. Elde edilen 4 bitlik sonuç şeklindedir. Bu değer sıfırdan farklı olduğundan, nolu bitin bozuk olduğu anlaşılır. Bozuk gelmiş bitin düzetilmesi ise tersinin alınması işlemidir. Tablo : Alıcı tarafta yapılan kontrol işlemi Kontrol İşlemleri XOR işlemi P için kontrol p d d2 d4 d5 d7 P2 için kontrol p2 d d3 d4 d6 d7 P4 için kontrol p4 d2 d3 d4 P8 için kontrol d5 d6 d7 5 4 p4 3 2 p2 p Şekil 2: Orijinal verinin konumu Şekil 3: p parite bitinin hesaplanışı Şekil 4: p2 parite bitinin hesaplanışı Şekil 5: p4 parite bitinin hesaplanışı Şekil 6: parite bitinin hesaplanışı p p p p III. FPGA VE VHDL FPGA (Field Programmable Gate Array Alan Programlanabilir Kapı Dizileri) lar günümüzde tasarlanan yeni algoritmaların donanım üzerinde nasıl davranacağının gözlenmesi, zaman ve maliyet açısından da en uygun olan ve defalarca programlanabilen donanım elemanlarıdır. FPGA lar üzerinde herhangi bir donanım oluşturabilmek için Verilog, VHDL gibi donanım tanımlama dillerine ihtiyaç vardır. Donanım tanmlama dilleri elektronik devre veya sistemlerin davranışlarını tanımlar. Donanım tanımlama dilleri kullanılarak geliştirilen programın, bilgisayar programlarından en büyük farkı ardışıl değil eş zamanlı olmasıdır [4]. Bu çalışmada VHDL donanım tanımlama dili kullanıldığından dolayı VHDL dilinin temel yapısı aşağıda kısaca açıklanmıştır. Algoritma. de verilen VHDL dilinde genel kod yapısında ilk olarak gerekli kütüphanelerin tanımlamaları yapılır. Bu kütüphanelerin tanımı daha sonra kullanılacak veri tipleri ve kullanılacak fonksiyonlar açısından önemlidir. Sayısal devrenin port yapısı ve kullanacağı varsayılan değişkenler entity bölümünde tanımlanır. Sayısal devrenin çalışma şekli ise architecture kısmında tanımlanır. 29

3 Hamming Kodlamasının FPGA Ortamında Gerçekleştirilmesi Algoritma. VDHL temel yapısı --Kütüphane Tanımlamaları library ieee ; use ieee.std_logic_64.all; use ieee.std_logic_unsigned.all; entity rom is --Değişken ve port tanımlamaları end rom; architecture behv of rom is ---Tasarlanan sistemin davranışı ve mimarisi end behv; Bu bölümde sinyal atamaları, sabit bildirimler, sistemin davranışı ve mimarisi tanımlanır. Eğer sistem ardışıl bir yapıda ise bu bölüm process alt bloklarını da içerir. VHDL dilinde tanımlanmış bir sistem hatalardan arındırılarak giriş çıkış işlemleri için pin atamaları yapılır. Gate Level seviyesinde optimize edilmiş Netlist elde edilir. Bu aşamadan sonra simülasyonlar gerçekleştirilerek sistemin giriş ve çıkışları gözlemlenir. Sistemin doğru çalıştığı görüldükten sonra FPGA içine yükleme işlemi yapılır.[] IV. FPGA ORTAMINDA GERÇEKLEŞTİRME Bu çalışmada gerçekleştirilen sistem altı alt modülden meydana gelmektedir. Kodlanacak yedi bitlik veriler öncelikle bir ROM da tutularak her bir veri sırasıyla kodlayıcı tarafından okunarak kodlanır. Kodlama sonunda elde edilen bitlik veri, bir buffer bellekte tutulur. Bu buffer belleğin görevi, kendinden sonra gelen paralel-seri dönüştürücü devresi ile kendinden önce gelen kodlayıcı arasında hız kontrolüne yardımcı olmak ve veri bütünlüğünü korumaktır. Kodlama bir clock cycle da yapılırken, paralelden seriye çevirme işlemi gönderilecek verinin bit uzunluğuna bağlı olarak daha fazla clock cycle da yapılacaktır. Bu durumda henüz bir veri tamamen gönderilmeden yeni bir verinin gelmesi gibi farklı durumlarda, buffer bellek üzerinde gerekli kontrol işlemleri sağlanacaktır. Paralel-seri dönüştürücü, buffer bellekten aldığı veriye başla, dur ve parite bitlerini ekleyerek alıcıya gönderir. Alıcı tarafta bulunan seri-paralel dönüştürücü, seri olarak gelen verileri paralel bilgiye dönüştürerek veriyi kod çözücüye gönderir. 2.Bölümde anlatıldığı gibi kod çözücü exor işlemlerini yaparak bozulan biti tespit eder. Tespit edilen bozuk bit düzeltilerek orijinal veri elde edilir. Sistemi oluşturan modüllerin gerçekleştirilmesi VHDL dilinde yapılmış ve şematik gösterimleri QUARTUS ortamında elde edilmiştir. Şematik olarak elde edilen bu modüller uygun bir biçimde bir araya getirilerek Hamming kodlamasını ve kod çözme işlemini gerçekleştirecek sistem için FPGA içerisinde harcanan birimler ve miktarları Şekil 8 de verilmiştir. Şekil 9 da ise FPGA içerisinde gerçekleştirilecek sistemin şematiği verilmektedir. Bu sistem off-line olarak simüle edilip çalışmasının uygunluğu görüldükten sonra; FPGA içerisine gömülerek gerçek zamanda çalışacak donanımsal devre elde edilmiştir. Şekil 8: Tasarlanan Sistem için harcanan donanım Bu sistemde kullanılan alt birimlerin şematik gösterimleri ve çalışma şekilleri aşağıda açıklanmıştır. A. ROM Bu modül, 7 bitlik 6 adet test verisinin tutulduğu yerdir. Bu alt devrenin VHDL tanımlaması ve şematik gösteriminin elde edilmesi için Quartus ortamı kullanılmıştır. Şekil ROM hafızası için VHDL dilinde ki tanımlamalarını göstermektedir. Şekil ise VHDL kodundan elde edilen şematik gösterimdir. Diğer tüm modüller içinde VHDL dilinde kodlamalar yapılıp şematik gösterimler elde edilmiştir. B. KODLAYICI Bu modül, ROM dan alınan 7 bitlik verilerden 4 bitlik parite bitlerini (, p4, p2,p), yukarıda anlatıldığı şekilde elde eder. Bu parite bitleri 7 bitlik ham veriye eklenerek bitlik gönderilecek veri elde edilmiş olur. Bu modülün şematik gösterimi şekil 2 de verilmiştir. Kodlayıcı Yazma Aktif ucu ile kendinde sonra gelen buffer belleğe yazma isteği gönderir. Dur ucu ise buffer bellekten yeni bir veri gönderilmemesi gerektiği isteğini alır. Adres portu ise ROM bellekten okunacak paralel verilerin adresleri içindir. 2

4 G.Yıldırım, T.Tuncer, Y. Tatar Şekil.9. Hamming kodlaması için tasarlanan sistemin şematik gösterilimi Şekil : Örnek olarak ROM alt biriminin VHDL de tanımlaması Şekil : ROM alt biriminin elde edilmiş şematiği C. BUFFER Kodlayıcıdan alınan 6 adet bitlik veriyi saklı tutan birimdir. Şekil 3 te buffer için şematik gösterim verilmiştir. Yazılacak adresi ve okunacak adresi tutan iki adet kayıtçıya sahiptir. Ayrıca hiçbir okuma yapmadan arka arkaya 6 verinin geldiğini algılayacak bir tur bayrağı vardır. Bu bellek kendi denetçisini içinde bulundurur. Daha önce söylendiği üzere kodlayıcıdan gelen veri miktarı ile paralel-seri dönüştürücü devrenin buffer bellekten veri okuması arasında bir hız farkı olacaktır. Okuma ve yazma işlemlerine, yazma_aktif ve okuma_aktif uçlarının durumlarına bakarak karar verir. Buffer belleğin tasarımında dikkat edilmesi gereken birkaç durum söz konusudur: Buffer bellek boş iken ilk veri yazıldığında, veri çıkış portunda bu veri görülebilmelidir. Aksi takdirde paralelseri dönüştürücü ilk olarak buffer belleğin çıkış portunda bulunan bilgisini veri olarak gönderir. Yazma durumunda, okunacak adres ile yazılacak adres faklı yada yazılacak adreste daha önceden gönderilmiş bir veri bulunması durumunda normal bir şekilde gelen veri eski verinin üzerine yazılmalıdır. Yazma durumunda, arka arkaya 6 veriden fazla veri gelirse son gelen veriden sonra kodlayıcının yeni veri göndermesini engellemek için kodlayici_bekle ucu lojik yapılmalıdır. Aynı anda gelen olası bir yazma ve okuma talebini algılamalı ve yazma durumundaki şartları gerçekleştirmelidir. Okuma durumunda, bellek yazılacak adresin durumuna dikkat etmelidir. Henüz bir veri yazılmadan, yazılacak adres bilgisinin gösterdiği yerdeki veri okunmamalı bu durumda paralelseri dönüştürücü devresinin çalışmasını duraklatacak olan gonderme_dursun ucu lojik yapılmalıdır. Hem okuma hem de yazma durumlarında tur bayrağının durumu dikkate alınmalıdır. Şekil 2: Hamming Kodlayıcı 2

5 Hamming Kodlamasının FPGA Ortamında Gerçekleştirilmesi Şekil 5: Seri-Paralel Dönüştürücü Bloğu Şekil 3: Buffer Bellek D. PARALEL-SERİ DÖNÜŞTÜRÜCÜ Bu modül buffer bellekten okuduğu paralel veriyi seri olarak iletim hattına bırakır. Bu birimin şematik gösterimi şekil 4 te verilmiştir. F. KOD ÇÖZÜCÜ Sistemin son modülü Şekil 6 da gösterildiği gibi kod çözücü devredir. Seri-paralel dönüştürücüden alınan bitlik kodlanmış veriden, Bölüm 2 de açıklanan Hamming Kodu çözme algoritmasını kullanarak orijinal veriyi elde eder. Eğer bir hata algılanırsa hangi bitin hatalı olduğunu göstererek gerekli düzeltme işlemini gerçekleştirir. Şekil 6: Kod Çözücü Bloğu Şekil 4: Paralel-Seri Dönüştürücü Bloğu Verinin seri iletim hattından iletilmesi için buffer belleğin gonderme_dursun ucundan gelen verinin lojik olması gereklidir. Buffer belleğe okuma isteği göndereceği zaman, o anda belleğin yazma yapıyor olup olmadığına dikkat etmelidir. Eğer buffer belleğe bir yazma söz konusu ise bu durumda herhangi bir gönderme işlemi yapmamalı ve okuma için uygun zaman sürekli denetlemelidir. Gönderme yapmadan önce gönderilecek veriye başla, dur ve parite bitleri eklemelidir. Bu durumda gönderilen toplam veri uzunluğu 4 bit olur. Clock sinyalinin her yükselen kenar tetiklemesinde gönderilecek veri bir bit kaydırılarak iletim hattına bırakılır. Bütün bu şartları gerçekleştiren bir sonlu durum makinesi bu iş için VHDL dilinde tasarlanmış ve gerçekleştirilmiştir. E. SERİ-PARALEL DÖNÜŞTÜRÜCÜ Seri olarak gelen verileri, bitlik paralel veri şeklinde ayırarak kod çözücü devreye gönderir. Gelen veriyi tamamen aldığı zaman parite bitine göre bir hata denetim işlemi yapar. Bu çalışmada gerçekleştirilecek olan yapay veri bozma işlemi bu bloğun içine yerleştirilen basit bir if-else kontrol ifadesi ile yapılmıştır. Örneğin gelen verisinin 2. biti değiştirilerek, verisi oluşturulmuştur. Ayrıca bir bitten fazla bozulmanın olması durumunu incelemek için gönderilen onuncu verinin ilk ve son bitleri bozularak verisi elde edilmiştir. Şekil 5 te bu modüle ait şematik gösterim verilmiştir. V. DENEYLER Tablo 3 te kodlanacak ve kodlama sonucunda elde edilen iletilecek veriler gösterilmektedir. 2. veri için 2. bitin bozulması durumunda alıcı tarafta 2. bitin bozulduğu sezilebilmiştir.. veride ve. bitin bozulması durumunda sistem verinin bozulduğunu tespit edebilmiştir. Ancak düzeltme yapamamıştır. Tablo 4 Tablo 3 te verilen 6 adet 7 bitlik veri için alıcı tarafında elde edilen verileri ve bozulan bitin değerini göstermektedir. Şekil 7 sistemin simülasyon sonucunu göstermektedir. Şekil 8 Signal Tab II Logic Analyzer ile alınan veriyi, elde edilen hatalı biti ham veriyi ve düzeltilmiş verinin hexadesimal değerlerinin göstermektedir. Şekil 8 e göre elde edilen sonuçlar aşağıdaki gibidir. Bozulmuş Veri (SerparCikis) = ( 4B2h) Düzeltilmiş Veri (duzeltilmis_cikis) = (4Bh) Hatalı Bit = (2 h) Ham Veri =_ (46 h) Tablo 3: Kodlanacak ve kodlanmış veriler Sıra Kodlanacak Veri Kodlanmış Veri

6 G.Yıldırım, T.Tuncer, Y. Tatar Tespit Edilen Bitin Numarası Bozulan Bit Düzeltilmiş Veri Şekil 7:Simülasyon Sonucu Seri-Paralel dönüştürücünün çıkışından alınan veriler Tablo 4: Alıcıda elde edilen veriler Hatalı Bit Düzeltilmiş çıkıştan elde edilen orijinal veriler Böylece, bir verinin gönderilmesi ve alıcı tarafta analiz edilmesi için geçen toplam süresi 36 ns olarak elde edilir. V.SONUÇLAR Bu bildiride gerçek zamanlı bir Hamming kodlaması ve kod çözme işleminin DE2-5 FPGA platformunda gerçekleştirilmesi açıklanmıştır. ROM bellekte bulunan veriler kodlanarak alıcı tarafa seri bilgi olarak gönderilir. Gönderilen verinin herhangi bir bitinin bozulması durumunda alıcı tarafta hangi bitin bozulduğu tespit edilebilmekte ve düzeltilerek orijinal veri elde edilmektedir. Gönderilecek veride iki bitin bozulması durumunda ise alıcı tarafında bitlerin bozulduğu sezilebilmektedir. Ancak hangi bitlerin bozulduğu bilinmediğinden sistem düzeltme yapamamaktadır. Gerçek zamanlı sistem, VHDL dili ile oluşturulup önce simüle edilerek çalışması test edilmiştir. Daha sonra sistem FPGA içinde donanımsal olarak oluşturulup gerçek zamanda testleri yapılmıştır. Gerçek zamanlı çalışmada kodlama ve kod çözme işlemlerinin oldukça kısa sürelerde yapıldığı ve dolayısıyla böyle bir sistem için FPGA ların iyi bir geliştirme ortamı olarak kullanılacağı görülmüştür. KAYNAKLAR Şekil 8: Signal Tab II Logic Analyzer ile elde edilen sonuç Yukarıda belirtilen gerçek zamanlı Hamming kodlama, çözme, işlemindeki bütün süreç için; 5 Mhz lik bir saat frekansı kullanılmıştır. Buna gore; gönderici tarafta kodlanmış bir verinin gönderilmesi ve karşı tarafta analizi için geçen sure aşağıdaki gibidir. Rom bellekten bir verinin okunması ve kodlanması 2 ns Paralel-Seri dönüştürücü devresinin kodlanmış veriyi göndermesi ve seri-paralel dönüştürücünün tüm veriyi alarak kod çözücüye göndermesi 32 ns Kod çözücünün analiz yapması ve çözümlemesi 2 ns [] Volnei A. Pedroi, Circuit Design with VHDL H.MIT Press Cambridge, London,England,24 [2] Varun Jindal, Design of Hamming Code Using Verilog HDL, Electronic For You, 26 [3] Hamming Kodlama, Arda Öztürk, [4] FPGA, 23

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Programlanabilir Lojik Basit Programlanabilir Lojik Cihazlar (Simple Programmable Logic Device - SPLD) ** PAL (Programmable

Detaylı

Digital Design HDL. Dr. Cahit Karakuş, February-2018

Digital Design HDL. Dr. Cahit Karakuş, February-2018 Digital Design HDL Dr. Cahit Karakuş, February-2018 NOT, AND, and OR Gates NAND and NOR Gates DeMorgan s Theorem Exclusive-OR (XOR) Gate Multiple-input Gates Basic Logic Gates and Basic Digital Design

Detaylı

Data Communications. Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü. 10. Hata Kontrolü

Data Communications. Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü. 10. Hata Kontrolü Veri İletişimi Data Communications Suat ÖZDEMİR Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü 10. Hata Kontrolü Konular Giriş Blok kodlama Lineer blok kodlar Cyclic kodlar Checksum http://ceng.gazi.edu.tr/~ozdemir

Detaylı

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI Yard. Doç. Dr. Özdemir ÇETİN Sunu Başlıklar kları 1. Amaç 2. Temel Bilgiler 1. SoC (System-On-Chip) nedir? 2. SoC donanım araçları ASIC (Application

Detaylı

VHDL ile KODLAMA ve HATA BULMA TEKNİKLERİ

VHDL ile KODLAMA ve HATA BULMA TEKNİKLERİ KARADENİZ TEKNİK ÜNİVERSİTESİ Bilgisayar Mühendisliği Bölümü Sayısal Tasarım Laboratuarı VHDL ile KODLAMA ve HATA BULMA TEKNİKLERİ 1.Giriş Kodlama, elektronik dünyasında çok sık kullanılan, hatta vazgeçilmesi

Detaylı

Chapter 6 Digital Data Communications Techniques

Chapter 6 Digital Data Communications Techniques Chapter 6 Digital Data Communications Techniques Eighth Edition by William Stallings Lecture slides by Lawrie Brown Dijital Veri İletişim Teknikleri Bir konuşma iki yönlü iletişim hattı oluşturur;iki taraf

Detaylı

Veri haberleşmesinde hatalar

Veri haberleşmesinde hatalar Veri haberleşmesinde hatalar 1 Hata türleri Sayısal iletişimde hata, bitlerin alınması ve gönderilmesi sırasında oluşur. 1 gönderildiğine 0 algılanması, ayad 0 gönderildiğinde 1 algılamsaı İki genel hata

Detaylı

VHDL. Ece Olcay Güneş & S. Berna Örs

VHDL. Ece Olcay Güneş & S. Berna Örs VHDL Ece Olcay Güneş & S. Berna Örs Giriş VHDL VHSIC Hardware Description Language in kısaltmasıdır. VHSIC Very High Speed Integrated Circuit in kısaltmasıdır. VHDL dışında da pekçok donanım tasarlama

Detaylı

FPGA ile Gömülü Sistem Tasarımına Giriş

FPGA ile Gömülü Sistem Tasarımına Giriş FPGA ile Gömülü Sistem Tasarımına Giriş Bilg. Yük. Müh. Selçuk BAŞAK SelSistem Bilgi ve İletişim Teknolojileri www.selsistem.com.tr Giriş Gömülü Sistemler Programlanabilir Lojik - SPLD FPGA & CPLD Donanım

Detaylı

VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması

VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması 6 th International Advanced Technologies Symposium (IATS 11), 16-18 May 2011, Elazığ, Turkey VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması S. Uzun 1, M. R. Canal 2, M.

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Seri Haberleşme (RS232) Uygulaması

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Seri Haberleşme (RS232) Uygulaması FPGA ile Seri Haberleşme (RS232) Uygulaması 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda, geliştirme kartımız üzerinde bulunan giriş / çıkış pinlerini FT232RL

Detaylı

VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı

VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı VHDL Kullanarak FPGA ile Yüksek Kapasiteli Tam Çıkarıcı Devre Tasarımı Kenan Baysal 1, Deniz Taşkın 2, Eser Sert 3, Nurşen Topçubaşı 4 1 Namık Kemal Üniversitesi, Hayrabolu Meslek Yüksekokulu, Bilgi Yönetimi

Detaylı

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur.

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur. HDL Dilleri HDL(Donanım Tanımlama Dili); tasarımın, HDL dillerinden her hangi bir tanesinin kullanılarak yapılmasıdır. HDL bir donanım parçasını modellemek için kullanılan yazılım dilidir. VHDL ile Verilog

Detaylı

Gömülü Sistemler. (Embedded Systems)

Gömülü Sistemler. (Embedded Systems) Gömülü Sistemler (Embedded Systems) Tanım Gömülü Sistem (Embedded System): Programlanabilir bilgisayar içeren fakat kendisi genel amaçlı bilgisayar olmayan her türlü cihazdır. Gömülü Sistem (Embedded System):

Detaylı

T.C. RC SERVO MOTOR KONTROLÜ

T.C. RC SERVO MOTOR KONTROLÜ T.C. BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ RC SERVO MOTOR KONTROLÜ İBRAHİM ALİ METİN BİLECİK 30 Mart 2015 T.C. BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ MÜHENDİSLİK

Detaylı

İTÜ Bilgisayar Mühendisliği Bölümü, BLG433-Bilgisayar Haberleşmesi ders notları, Dr. Sema Oktuğ

İTÜ Bilgisayar Mühendisliği Bölümü, BLG433-Bilgisayar Haberleşmesi ders notları, Dr. Sema Oktuğ Bölüm 3 : HATA SEZME TEKNİKLERİ Türkçe (İngilizce) karşılıklar Eşlik sınaması (parity check) Eşlik biti (parity bit) Çevrimli fazlalık sınaması (cyclic redundancy check) Sağnak/çoğuşma (burst) Bölüm Hedefi

Detaylı

KODLAMA VE HATA BULMA TEKNİKLERİ

KODLAMA VE HATA BULMA TEKNİKLERİ Karadeniz Teknik Üniversitesi Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü Sayısal Tasarım Laboratuvarı KODLAMA VE HATA BULMA TEKNİKLERİ Kodlama eleketronik dünyasında çok sık kullanılan, hatta

Detaylı

KABLOSUZ İLETİŞİM

KABLOSUZ İLETİŞİM KABLOSUZ İLETİŞİM 805540 DENKLEŞTİRME, ÇEŞİTLEME VE KANAL KODLAMASI İçerik 3 Denkleştirme Çeşitleme Kanal kodlaması Giriş 4 Denkleştirme Semboller arası girişim etkilerini azaltmak için Çeşitleme Sönümleme

Detaylı

Programlanabilir Devreler

Programlanabilir Devreler Programlanabilir Devreler Testbench & Simülasyon İçerik Tasarlamış olduğumuz sayısal sistemlerin fonksiyonel olarak istenildiği gibi gerçekleştirdiğini doğrulamak gerekir. Verilog ve VHDL gibi donanım

Detaylı

1. LİNEER PCM KODLAMA

1. LİNEER PCM KODLAMA 1. LİNEER PCM KODLAMA 1.1 Amaçlar 4/12 bitlik lineer PCM kodlayıcısı ve kod çözücüsünü incelemek. Kuantalama hatasını incelemek. Kodlama kullanarak ses iletimini gerçekleştirmek. 1.2 Ön Hazırlık 1. Kuantalama

Detaylı

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz.

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz. Girilen iki sayının birbiriyle karşılaştırılıp sonucunda büyük, küçük veya eşit sinyallerinin verileceği bir programı VHDL dili ile yazınız. A : karşılaştırılacak 1.sayıdır. 8 bitlik giriştir. B : karşılaştırılacak

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI DENEY V : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI AMAÇLAR: ALTERA tarafından geliştirilen son teknoloji

Detaylı

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN

Bahar Dönemi. Öğr.Gör. Vedat MARTTİN Bahar Dönemi Öğr.Gör. Vedat MARTTİN Merkezi İşlemci Biriminde İletişim Yolları Mikroişlemcide işlenmesi gereken komutları taşıyan hatlar yanında, işlenecek verileri taşıyan hatlar ve kesme işlemlerini

Detaylı

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi Buse Ustaoğlu Berna Örs Yalçın İçerik Giriş Çalişmanın Amacı Mikroişlemciye Hata Enjekte Etme Adımları Hata Üreteci Devresi

Detaylı

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Altera fpga kartları için derleyici programı Quartus tur. Aşağıdaki linkten quartus programı indirilebilir; https://www.altera.com/download/dnl-index.jsp

Detaylı

Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose

Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose Mehmet BAKACAK, Taner TOPAL Bilgisayar Mühendisliği Kırıkkale

Detaylı

OSI REFERANS MODELI-II

OSI REFERANS MODELI-II OSI REFERANS MODELI-II Ö Ğ R. G Ö R. V O L K A N A L T ı N T A Ş OSI REFERANS MODELI VERİBAĞı KATMANI Veri hattı katmanında, fiziksel katmanda elektronik medyanın üzerinde verilerin nasıl iletileceği ve

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 8. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar MULTIPLEXERS (VERİ SEÇİCİLER), ÜÇ DURUMLU BUFFERS, DECODERS (KOD ÇÖZÜCÜLER) BELLEK ELEMANLARI 2 8.2.

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Giriş Mikro işlemler Fetch cycle Indirect cycle Interrupt cycle Execute cycle Instruction

Detaylı

William Stallings Computer Organization and Architecture 9 th Edition

William Stallings Computer Organization and Architecture 9 th Edition William Stallings Computer Organization and Architecture 9 th Edition Bölüm 5 İç Hafıza Bir Hafıza Hücresinin Çalışması Bütün hafıza hücrelerinin ortak özellikleri vardır: 0 ve 1 durumundan birini gösterirler

Detaylı

ERCİYES ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTUSÜ BİLGİSAYAR MÜHENDİSLİĞİ ANABİLİMDALI. I. GENEL BİLGİLER Ders Adı

ERCİYES ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTUSÜ BİLGİSAYAR MÜHENDİSLİĞİ ANABİLİMDALI. I. GENEL BİLGİLER Ders Adı BİM618 Evrimsel Algoritmalar Öğretim Üyesi Prof. Dr. Derviş Karaboğa Görüşme Saatleri 8.00-17.00 E posta: karaboga@erciyes.edu.tr http://abis.erciyes.edu.tr/sorgu.aspx?sorgu=236 Erciyes Üniversitesi, Mühendislik

Detaylı

HATA SEZME VE DÜZELTME TEKNİKLERİ

HATA SEZME VE DÜZELTME TEKNİKLERİ HATA SEZME VE DÜZELTME TEKNİKLERİ 1 4.Hata Sezme ve düzeltme Veri paketleri iletilirken bazı bitleri bozulabilir. Bu olasılık çoğu uygulamalar (text v.b) tarafından kabul edilmez. Paketin içerisindeki

Detaylı

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir.

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir. Bilgisayar Mimarisi İkilik Kodlama ve Mantık Devreleri Yrd.Doç.Dr. Celal Murat KANDEMİR ESOGÜ Eğitim Fakültesi - BÖTE twitter.com/cmkandemir Kodlama Kodlama (Coding) : Bir nesneler kümesinin bir dizgi

Detaylı

KASIRGA 4. GELİŞME RAPORU

KASIRGA 4. GELİŞME RAPORU KASIRGA 4. GELİŞME RAPORU 14.07.2008 Ankara İçindekiler İçindekiler... 2 Giriş... 3 Kasırga Birimleri... 3 Program Sayacı Birimi... 3 Bellek Birimi... 3 Yönlendirme Birimi... 4 Denetim Birimi... 4 İşlem

Detaylı

Mobil ve Kablosuz Ağlar (Mobile and Wireless Networks)

Mobil ve Kablosuz Ağlar (Mobile and Wireless Networks) Mobil ve Kablosuz Ağlar (Mobile and Wireless Networks) Hazırlayan: M. Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Ders konuları 2 1 Kodlama ve modülasyon yöntemleri İletim ortamının özelliğine

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ Yrd. Doç. Dr. Mustafa Hikmet Bilgehan UÇAR 6. HAFTA BİLEŞİK MANTIK DEVRELERİ (COMBINATIONAL LOGIC) Aritmetik İşlem Devreleri

Detaylı

BÖLÜM 2 SAYI SİSTEMLERİ

BÖLÜM 2 SAYI SİSTEMLERİ İÇİNDEKİLER BÖLÜM 1 GİRİŞ 1.1. Lojik devre içeriği... (1) 1.1.1. Kodlama, Kod tabloları... (2) 1.1.2. Kombinezonsal Devre / Ardışıl Devre... (4) 1.1.3. Kanonik Model / Algiritmik Model... (4) 1.1.4. Tasarım

Detaylı

BÜŞRA YILMAZ ETHERNET KARTI

BÜŞRA YILMAZ ETHERNET KARTI BÜŞRA YILMAZ 155511105 ETHERNET KARTI Ethernet Kartı Tarihçesi; Ethernet kavramı ilk kez 1973-1975 yılları arasında Xerox PARC tarafından yapılan bir araştırma sonucunda geliştirildi. İlk Ethernet sistemleri

Detaylı

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber FPGA ile Kablosuz Görüntü Aktarımı Yusuf Onur Koçberber Seminer 2009 Yaz Plan FPGA Genel bilgiler FPGA nın İç Yapısı, Nasıl Programlanabiliyor?, Nasıl Çalışıyor? Neden bu kadar popüler oldu? MPW Her şeyin

Detaylı

Şartlı Olasılık. Pr[A A ] Pr A A Pr[A ] Bir olayın (A 1 ) olma olsılığı, başka bir olayın (A 2 ) gerçekleştiğinin bilinmesine bağlıysa;

Şartlı Olasılık. Pr[A A ] Pr A A Pr[A ] Bir olayın (A 1 ) olma olsılığı, başka bir olayın (A 2 ) gerçekleştiğinin bilinmesine bağlıysa; Şartlı Olasılık Bir olayın (A ) olma olsılığı, başka bir olayın (A 2 ) gerçekleştiğinin bilinmesine bağlıysa; Pr[A A 2 Pr A A Pr A A = Pr[A A 2 2 2 Pr[A Pr[A 2 2 A A 2 S Pr[A A 2 A 2 verildiğinde (gerçekleştiğinde)

Detaylı

BÖLÜM 1 TEMEL KAVRAMLAR

BÖLÜM 1 TEMEL KAVRAMLAR BÖLÜM 1 TEMEL KAVRAMLAR Bölümün Amacı Öğrenci, Analog haberleşmeye kıyasla sayısal iletişimin temel ilkelerini ve sayısal haberleşmede geçen temel kavramları öğrenecek ve örnekleme teoremini anlayabilecektir.

Detaylı

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş 29.11.2016 İÇERİK Arduino Nedir? Arduino IDE Yazılımı Arduino Donanım Yapısı Elektronik Bilgisi

Detaylı

Proje #2 - Lojik Devre Benzetimi

Proje #2 - Lojik Devre Benzetimi Kocaeli Universitesi Bilgisayar Mühendisliği Programlama Laboratuvarı I BLM 209 Proje #2 - Lojik Devre Benzetimi Dosya Operasyonları Üzerine Uygulama Geliştirme Arş. Gör. Süleyman Eken & Arş. Gör. Furkan

Detaylı

İSTANBUL TEKNİK ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK FAKÜLTESİ ÖZET FONKSİYON TABANLI GÜVENLİ BİR RFID PROTOKOLÜNÜN FPGA ÜZERİNDE GERÇEKLENMESİ

İSTANBUL TEKNİK ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK FAKÜLTESİ ÖZET FONKSİYON TABANLI GÜVENLİ BİR RFID PROTOKOLÜNÜN FPGA ÜZERİNDE GERÇEKLENMESİ İSTANBUL TEKNİK ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK FAKÜLTESİ ÖZET FONKSİYON TABANLI GÜVENLİ BİR RFID PROTOKOLÜNÜN FPGA ÜZERİNDE GERÇEKLENMESİ BİTİRME ÖDEVİ YUSUF GÖRÜM 040080379 Bölümü: Elektronik ve Haberleşme

Detaylı

TIQ TABANLI 8 BİT FOLDING A/D DÖNÜŞTÜRÜCÜ TASARIMI

TIQ TABANLI 8 BİT FOLDING A/D DÖNÜŞTÜRÜCÜ TASARIMI TIQ TABANLI 8 BİT FOLDING A/D DÖNÜŞTÜRÜCÜ TASARIMI M. Fatih TEKİN 1 Ali TANGEL 2 Oktay AYTAR 3 Anıl ÇELEBİ 4 1,2,3,4 Elektronik ve Haberleşme Mühendisliği Bölümü Mühendislik Fakültesi Kocaeli Üniversitesi,

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ Yrd. Doç. Dr. Mustafa H.B. UÇAR 1 2. HAFTA Yrd. Doç. Dr. Mustafa Hikmet Bilgehan UÇAR Entegre Yapıları Lojik Kapılar Lojik

Detaylı

JAVA API v2.0 Belge sürümü: 2.0.2

JAVA API v2.0 Belge sürümü: 2.0.2 JAVA API v2.0 Belge sürümü: 2.0.2 1. İçindekiler 1. İÇİNDEKİLER... 2 2. BU BELGENİN AMACI... 3 3. BELGE SÜRÜMLERİ... 3 4. SİSTEM GEREKSİNİMLERİ... 3 5. KULLANIM ŞEKLİ... 4 5.1. GENEL... 4 5.2. UYARILAR...

Detaylı

VERĠ HABERLEġMESĠ OSI REFERANS MODELĠ

VERĠ HABERLEġMESĠ OSI REFERANS MODELĠ VERĠ HABERLEġMESĠ OSI REFERANS MODELĠ Bölüm-2 Resul DAġ rdas@firat.edu.tr VERİ HABERLEŞMESİ TEMELLERİ Veri İletişimi İletişimin Genel Modeli OSI Referans Modeli OSI Modeli ile TCP/IP Modelinin Karşılaştırılması

Detaylı

DENEY 1a- Kod Çözücü Devreler

DENEY 1a- Kod Çözücü Devreler DENEY 1a- Kod Çözücü Devreler DENEYİN AMACI 1. Kod çözücü devrelerin çalışma prensibini anlamak. GENEL BİLGİLER Kod çözücü, belirli bir ikili sayı yada kelimenin varlığını belirlemek için kullanılan lojik

Detaylı

MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ

MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ KARADENİZ TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BİLGİSAYAR ORGANİZASYONU LABORATUVARI MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ 1. GİRİŞ Analog işaretleri sayısal işaretlere dönüştüren elektronik devrelere

Detaylı

DENEY NO : 2 DENEY ADI : Sayısal Sinyallerin Analog Sinyallere Dönüştürülmesi

DENEY NO : 2 DENEY ADI : Sayısal Sinyallerin Analog Sinyallere Dönüştürülmesi DENEY NO : 2 DENEY ADI : Sayısal Sinyallerin Analog Sinyallere Dönüştürülmesi DENEYİN AMACI :Bir sayısal-analog dönüştürücü işlemini anlama. DAC0800'ün çalışmasını anlama. DAC0800'ı kullanarak unipolar

Detaylı

HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları

HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları Ders Adı Ders Kodu Dönemi Ders Saati Uygulama Saati Laboratuar Saati Kredi AKTS HDL ile Gelişmiş Sayısal Tasarım EE 425 Her İkisi 2 2 0 3 5 Ön Koşul

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile PWM Sinyal Ü retim Üygulaması FPGA İLE UYGULAMA ÖRNEKLERİ 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda deneme kartımız üzerinde bulunan 1 adet LED

Detaylı

İvme VGA, İvme s_2.1 fiziksel işlemci çekirdeğinin, çalışan iç yapısının herhangi bir simülasyon olmaksızın fiziksel olarak dış dünyaya aktarımıdır.

İvme VGA, İvme s_2.1 fiziksel işlemci çekirdeğinin, çalışan iç yapısının herhangi bir simülasyon olmaksızın fiziksel olarak dış dünyaya aktarımıdır. 1 İVME VGA İvme VGA, İvme s_2.1 fiziksel işlemci çekirdeğinin, çalışan iç yapısının herhangi bir simülasyon olmaksızın fiziksel olarak dış dünyaya aktarımıdır. Genel olarak yazmaçlar, hafıza elemanlarından

Detaylı

Mikroişlemci ile Analog-Sayısal Dönüştürücü (ADC)

Mikroişlemci ile Analog-Sayısal Dönüştürücü (ADC) KARADENİZ TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ MİKROİŞLEMCİ LABORATUARI Mikroişlemci ile Analog-Sayısal Dönüştürücü (ADC) 1. Giriş Analog işaretler analog donanım kullanılarak işlenebilir.

Detaylı

SAYISAL DEVRELER. İTÜ Bilgisayar Mühendisliği Bölümündeki donanım derslerinin bağlantıları

SAYISAL DEVRELER. İTÜ Bilgisayar Mühendisliği Bölümündeki donanım derslerinin bağlantıları SAYISAL DEVRELER Doç.Dr. Feza BUZLUCA İstanbul Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü Sayısal Devreler Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/

Detaylı

Ağ Temelleri 6.Hafta. Öğr.Gör.Volkan ALTINTAŞ

Ağ Temelleri 6.Hafta. Öğr.Gör.Volkan ALTINTAŞ Ağ Temelleri 6.Hafta Öğr.Gör.Volkan ALTINTAŞ www.volkanaltintas.com Kaynak, Kanal Ve Hedef Tüm ağların birincil amacı, bilgiyi iletme yöntemi sağlamaktır. Bütün iletişimler, bir kişi veya cihazdan diğerine

Detaylı

BİLGİSAYAR MİMARİSİ. İkili Kodlama ve Mantık Devreleri. Özer Çelik Matematik-Bilgisayar Bölümü

BİLGİSAYAR MİMARİSİ. İkili Kodlama ve Mantık Devreleri. Özer Çelik Matematik-Bilgisayar Bölümü BİLGİSAYAR MİMARİSİ İkili Kodlama ve Mantık Devreleri Özer Çelik Matematik-Bilgisayar Bölümü Kodlama Kodlama, iki küme elemanları arasında karşılıklığı kesin olarak belirtilen kurallar bütünüdür diye tanımlanabilir.

Detaylı

Elektroniğe Giriş 1.1

Elektroniğe Giriş 1.1 İTÜ Bilgisayar Mühendisliği Bölümündeki donanım derslerinin bağlantıları Sayısal devreler bölümdeki diğer donanım dersinin temelini oluşturmaktadır. Elektroniğe Giriş SAYISAL DEVRELER Sayısal Elektronik

Detaylı

Mikroçita. Mikroçita Rapor 2:

Mikroçita. Mikroçita Rapor 2: Mikroçita Rapor 2: İşlemci projemizle ilgili olarak hazırlamış olduğumuz bu ikinci raporda öncelikli olarak vhdl kullanarak tasarladığımız işlemcimizin genel çalışmasını ilk rapora göre daha ayrıntılı

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Display Kontrol ve (0-9999) Sayıcı Uygulaması 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda deneme kartımız üzerinde bulunan 8 adet (4x2 display

Detaylı

3.Türkiye'de IBAN kullanımı konusunda bir düzenleme var mı?

3.Türkiye'de IBAN kullanımı konusunda bir düzenleme var mı? IBAN uygulamasına erteleme yok Merkez Bankası, Uluslararası Banka Hesap Numarası'nın, 1 Ocak 2010 tarihinden itibaren yurttaşların bankalardan yapacakları yurtiçi para transferlerinde kullanılmasının zorunlu

Detaylı

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş C ile 8051 Mikrodenetleyici Uygulamaları BÖLÜM 2 8051 Mikrodenetleyicisine Giriş Amaçlar 8051 mikrodenetleyicisinin tarihi gelişimini açıklamak 8051 mikrodenetleyicisinin mimari yapısını kavramak 8051

Detaylı

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ SAYISAL DEVRE UYGULAMALARI Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ İÇİNDEKİLER ŞEKİLLER TABLOSU... vi MALZEME LİSTESİ... viii ENTEGRELER... ix 1. Direnç ve Diyotlarla Yapılan

Detaylı

1. Temel Tanımlar. 1.1 Şematron Kontrolü Nedir? 1.2 Zarf Nedir? 1.3 Uygulama Yanıtı Nedir? 1.4 Sistem Yanıtı Nedir? 1.5 Durum Kodu Nedir?

1. Temel Tanımlar. 1.1 Şematron Kontrolü Nedir? 1.2 Zarf Nedir? 1.3 Uygulama Yanıtı Nedir? 1.4 Sistem Yanıtı Nedir? 1.5 Durum Kodu Nedir? İÇİNDEKİLER 1. Temel Tanımlar... 2 1.1 Şematron Kontrolü Nedir?... 2 1.2 Zarf Nedir?... 2 1.3 Uygulama Yanıtı Nedir?... 2 1.4 Durum Kodu Nedir?... 2 1.5 Etiket Bilgisi Nedir?... 2 2. Durum Kodları... 3

Detaylı

Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi

Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi Bu derste... BİL 201 Birleşimsel Mantık (Combinational Logic) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi Birleşimsel Devreler - Çözümlenmesi - Tasarımı Birleşimsel Devre Örnekleri - Yarım Toplayıcı

Detaylı

DGridSim Gerçek Zamanlı Veri Grid Simülatörü. Yazılım Tasarımı Dokümanı v 1.0.1 01.08.2011. Mustafa Atanak Sefai Tandoğan Doç. Dr.

DGridSim Gerçek Zamanlı Veri Grid Simülatörü. Yazılım Tasarımı Dokümanı v 1.0.1 01.08.2011. Mustafa Atanak Sefai Tandoğan Doç. Dr. DGridSim Gerçek Zamanlı Veri Grid Simülatörü Yazılım Tasarımı Dokümanı v 1.0.1 01.08.2011 Mustafa Atanak Sefai Tandoğan Doç. Dr. Atakan Doğan 1. Sistem Mimarisi DGridSim katmanlı bir yapı göz önünde bulundurularak

Detaylı

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK Yıldız Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü Lisans Üstü Semineri Bahar 2009 Giriş Programlanabilir Lojik - SPLD FPGA

Detaylı

Bölüm 5 Kodlayıcılar ve Kod Çözücüler

Bölüm 5 Kodlayıcılar ve Kod Çözücüler Bölüm 5 Kodlayıcılar ve Kod Çözücüler DENEY 5- Kodlayıcı Devreler DENEYİN AMACI. Kodlayıcı devrelerin çalışma prensibini anlamak. 2. Temel kapılar ve IC kullanarak kodlayıcı gerçekleştirmek GENE BİGİER

Detaylı

Bölüm 4 Ardışıl Lojik Devre Deneyleri

Bölüm 4 Ardışıl Lojik Devre Deneyleri Bölüm 4 Ardışıl Lojik Devre Deneyleri DENEY 4-1 Flip-Floplar DENEYİN AMACI 1. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 4 Programlanabilir Mantık Elemanları Programlanabilir mantık aygıtları (Programmable Logic Devices), PLD mantık geçitleri ve flip-floplar

Detaylı

DESTEK DOKÜMANI IBAN DÜZENLEMELERĐ

DESTEK DOKÜMANI IBAN DÜZENLEMELERĐ IBAN DÜZENLEMELERĐ 1 Ocak 2010 tarihi ve sonrasında Türkiye de tüm bankacılık işlemleri için IBAN (International Bank Account Number Uluslararası Banka Hesap Numarası) kullanımına geçilecektir. IBAN hakkında

Detaylı

İletişim Ağları Communication Networks

İletişim Ağları Communication Networks İletişim Ağları Communication Networks Hazırlayan: M. Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Bu dersin sunumları, Behrouz A. Forouzan, Data Communications and Networking 4/E, McGraw-Hill,

Detaylı

BİL 201 Birleşimsel Mantık (Combinational Logic) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi

BİL 201 Birleşimsel Mantık (Combinational Logic) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi BİL 201 Birleşimsel Mantık (Combinational Logic) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi Birleşimsel Devreler - Çözümlenmesi - Tasarımı Bu derste... Birleşimsel Devre Örnekleri - Yarım Toplayıcı

Detaylı

BİLGİSAYAR AĞLARI & Ns2 AĞ SİMÜLATÖRÜ Hafta-6 Bağlantı Kurma

BİLGİSAYAR AĞLARI & Ns2 AĞ SİMÜLATÖRÜ Hafta-6 Bağlantı Kurma 1Chapter 2 BİLGİSAYAR AĞLARI & Ns2 AĞ SİMÜLATÖRÜ Hafta-6 Bağlantı Kurma Dr. Zafer ALBAYRAK zalbayrak@karabuk.edu.tr 2015 ref: lary l. Peerson (computer networks-fifth edition) Hafta konuları Ağa bağlanan

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Sonlu Durum Makinesi Uygulaması FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda geliştirme kartımız üzerinde bulunan 8 adet

Detaylı

TC KİMLİK NO SMS GÖNDERİM XML API

TC KİMLİK NO SMS GÖNDERİM XML API TC KİMLİK NO SMS GÖNDERİM XML API İçindekiler 1. Bu Belgenin Amacı... 3 2. Belge Sürümleri... 3 3. Sistem Gereksinimleri... 3 4. Kullanım Şekli... 3 4.1. Genel... 3 4.2. Uyarılar... 3 4.3. Hata Kodları...

Detaylı

Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/

Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/ Eşzamanlı (Senkron) Ardışıl Devrelerin Tasarlanması (Design) Bir ardışıl devrenin tasarlanması, çözülecek olan problemin sözle anlatımıyla (senaryo) başlar. Bundan sonra aşağıda açıklanan aşamalardan geçilerek

Detaylı

Komut Seti Mimarisi (ISA)

Komut Seti Mimarisi (ISA) Komut Seti Mimarisi (ISA) BIL-304: Bilgisayar Mimarisi Dersi veren öğretim üyesi: Dr. Öğretim Üyesi Fatih Gökçe Ders kitabına ait sunum dosyalarından adapte edilmiştir: http://csapp.cs.cmu.edu/ Adapted

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SYISL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı ÖLÜM 3 Mantık Geçitleri Değil (Inverter) Geçidi İnverter geçidi oolean NOT işlemini yapar. Giriş YÜKSEK olduğunda çıkışını DÜŞÜK, giriş DÜŞÜK

Detaylı

İÇİNDEKİLER 1. KLAVYE... 11 2. KLAVYE RB0... 19 3. KLAVYE RBHIGH... 27 4. 4 DİSPLAY... 31

İÇİNDEKİLER 1. KLAVYE... 11 2. KLAVYE RB0... 19 3. KLAVYE RBHIGH... 27 4. 4 DİSPLAY... 31 İÇİNDEKİLER 1. KLAVYE... 11 Satır ve Sütunlar...11 Devre Şeması...14 Program...15 PIC 16F84 ile 4x4 klavye tasarımını gösterir. PORTA ya bağlı 4 adet LED ile tuş bilgisi gözlenir. Kendiniz Uygulayınız...18

Detaylı

1.1. Yazılım Geliştirme Süreci

1.1. Yazılım Geliştirme Süreci Kazanımlar Bu bolümde; Yazılım geliştirme sureci konusunda bilgi sahibi olacak, Yazılım geliştirme surecinde gerekli olan araçları tanıyacak, Python dilinde program geliştirme ortamlarını inceleyebileceksiniz.

Detaylı

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme PROGRAMIN ADI DERSIN KODU VE ADI DERSIN ISLENECEGI DÖNEM HAFTALIK DERS SAATİ DERSİN SÜRESİ ENDÜSTRİYEL ELEKTRONİK MİK.İŞLEMCİLER/MİK.DENETLEYİCİLER-1 2. Yıl, III. Yarıyıl (Güz) 4 (Teori: 3, Uygulama: 1,

Detaylı

SMSEXPLORER XML API. XML API KILAVUZU v.1.0

SMSEXPLORER XML API. XML API KILAVUZU v.1.0 SMSEXPLORER XML API 0 1 İçerik 1 İçerik 1 2 Genel Bakış 2 3 Giriş 2 4 Başlarken 2 5 Genel Hata Kodları 3 6 SMS Gönderimi 3 6.1 Aynı Mesajın Birçok Farklı Numaraya Gönderilmesi 4 6.2 Farklı Mesajların Birçok

Detaylı

KENDĐ KENDĐNE YOL BULAN ARAÇ

KENDĐ KENDĐNE YOL BULAN ARAÇ KENDĐ KENDĐNE YOL BULAN ARAÇ Projeyi Yapan : Selim Göksu Proje Yöneticisi : Prof. Dr. Tülay Yıldırım GĐRĐŞ Günümüzde, kullanılan bir takım araçların (evdeki robotlardan fabrikalardaki forkliftlere, sokaktaki

Detaylı

Bölüm 2 Kombinasyonel Lojik Devreleri

Bölüm 2 Kombinasyonel Lojik Devreleri Bölüm 2 Kombinasyonel Lojik Devreleri DENEY 2-1 VEYA DEĞİL Kapı Devresi DENEYİN AMACI 1. VEYA DEĞİL kapıları ile diğer lojik kapıların nasıl gerçekleştirildiğini anlamak. 2. VEYA DEĞİL kapıları ile DEĞİL

Detaylı

FPGA ile 2x16 LCD Uygulaması

FPGA ile 2x16 LCD Uygulaması FPGA ile 2x16 LCD Uygulaması FPGA İLE UYGULAMA ÖRNEKLERİ 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen uygulamalar günden güne giderek çeşitlenmektedir. FPGA ların üstünlükleri/eksiklikleri,

Detaylı

MİKROİŞLEMCİ SİSTEMLERİ

MİKROİŞLEMCİ SİSTEMLERİ MİKROİŞLEMCİ SİSTEMLERİ Doç.Dr. Şule Öğüdücü http://ninova.itu.edu.tr/tr/dersler/bilgisayar-bilisim-fakultesi/30/blg-212/ Seri İletişim Verinin her biti aynı hat üzerinden arka arkaya gönderilir. Seri

Detaylı

(Random-Access Memory)

(Random-Access Memory) BELLEK (Memory) Ardışıl devreler bellek elemanının varlığı üzerine kuruludur Bir flip-flop sadece bir bitlik bir bilgi tutabilir Bir saklayıcı (register) bir sözcük (word) tutabilir (genellikle 32-64 bit)

Detaylı

Bilgisayarda Programlama. Temel Kavramlar

Bilgisayarda Programlama. Temel Kavramlar Bilgisayarda Programlama Temel Kavramlar KAVRAMLAR Programlama, yaşadığımız gerçek dünyadaki problemlere ilişkin çözümlerin bilgisayarın anlayabileceği bir biçime dönüştürülmesi / ifade edilmesidir. Bunu

Detaylı

3.3. İki Tabanlı Sayı Sisteminde Dört İşlem

3.3. İki Tabanlı Sayı Sisteminde Dört İşlem 3.3. İki Tabanlı Sayı Sisteminde Dört İşlem A + B = 2 0 2 1 (Elde) A * B = Sonuç A B = 2 0 2 1 (Borç) A / B = Sonuç 0 + 0 = 0 0 0 * 0 = 0 0 0 = 0 0 0 / 0 = 0 0 + 1 = 1 0 0 * 1 = 0 0 1 = 1 1 0 / 1 = 0 1

Detaylı

BİL 423 Bilgisayar Mimarisi 1. Ara Sınavı

BİL 423 Bilgisayar Mimarisi 1. Ara Sınavı MALTEPE ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSİĞİ BÖLÜMÜ BİL 423 Bilgisayar Mimarisi 1. Ara Sınavı Öğrenci Adı Soyadı : Öğrenci no : Akademik yıl : 2015-2016 Dönem : Güz Tarih : 4.11.2015 Sınav yeri : MZ-4 Sınav

Detaylı

Resim 7.20: Yeni bir ileti oluşturma

Resim 7.20: Yeni bir ileti oluşturma F İLETİLER Konuya Hazırlık 1. E-posta adresinden yeni bir ileti nasıl oluşturulur? 1. İLETI GÖNDERME a. Yeni bir ileti oluşturma: Yeni bir ileti oluşturmak için Dosya/Yeni/E-posta iletisi seçilebileceği

Detaylı

YENİ NESİL ÖDEME KAYDEDİCİ CİHAZLARA AİT ELEKTRONİK KAYIT, AKTİVASYON VE YETKİLİ SERVİS LİSTELERİ TEKNİK KILAVUZU

YENİ NESİL ÖDEME KAYDEDİCİ CİHAZLARA AİT ELEKTRONİK KAYIT, AKTİVASYON VE YETKİLİ SERVİS LİSTELERİ TEKNİK KILAVUZU YENİ NESİL ÖDEME KAYDEDİCİ CİHAZLARA AİT ELEKTRONİK KAYIT, AKTİVASYON VE YETKİLİ SERVİS LİSTELERİ TEKNİK KILAVUZU (Sürüm 1) 25 ARALIK 2015 İçindekiler Tablosu GİRİŞ... 2 1 GİB BS Dış Entegrasyon Dosya

Detaylı

1.1 Metodolojiyi Gerçeklemek Üzere Geliştirilen Altyapı

1.1 Metodolojiyi Gerçeklemek Üzere Geliştirilen Altyapı 1.1 Metodolojiyi Gerçeklemek Üzere Geliştirilen Altyapı Metodolojisi üzerinde durduğumuz çalışman Eğitim altyapısını gerçekleştirmek: Proje iki ana parçadan oluşacaktır. Merkezi Altyapı Kullanıcı Arabirimi

Detaylı

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits)

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits) SE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates nd Logic Circuits) Sakarya Üniversitesi Lojik Kapılar - maçlar Lojik kapıları ve lojik devreleri tanıtmak Temel işlemler olarak VE,

Detaylı

VHDL ile Mikroişlemci Tasarımı ve Eğitimde Uygulanabilirliği

VHDL ile Mikroişlemci Tasarımı ve Eğitimde Uygulanabilirliği Akademik Bilişim 11 - XIII. Akademik Bilişim Konferansı Bildirileri 2-4 Şubat 2011 İnönü Üniversitesi, Malatya VHDL ile Mikroişlemci Tasarımı ve Eğitimde Uygulanabilirliği Deniz Taşkın 1, Kenan Baysal

Detaylı

Mikrobilgisayarlar ve Assembler. Bahar Dönemi. Vedat Marttin

Mikrobilgisayarlar ve Assembler. Bahar Dönemi. Vedat Marttin Mikrobilgisayarlar ve Assembler Bahar Dönemi Vedat Marttin Bellek Haritası Mikroişlemcili örnek bir RAM, ROM ve G/Ç adres sahalarının da dahil olduğu toplam adres uzayının gösterilmesinde kullanılan sisteme

Detaylı

BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü

BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü Bu derste! Büyük, karmaşık sayısal sistemlerin tasarımı ele alınacaktır. ASM ve ASMD çizgeleri Tasarım Örnekleri

Detaylı

SAYISAL ANALİZ. 2. Hafta SAYISAL ANALİZDE HATA KAVRAMI VE HATA TÜRLERİ

SAYISAL ANALİZ. 2. Hafta SAYISAL ANALİZDE HATA KAVRAMI VE HATA TÜRLERİ SAYISAL ANALİZ 2. Hafta SAYISAL ANALİZDE HATA KAVRAMI VE HATA TÜRLERİ 1 İÇİNDEKİLER 1. de Problem Çözümünde İzlenilecek Adımlar 2. de Hata Kavramı 3. de Hataların Sebepleri 4. Sayısal Hata ve Hata Türleri

Detaylı