KOCAELİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "KOCAELİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ"

Transkript

1 KOCAELİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ ALTERA FPGA KARTLARI LABORATUVAR UYGULAMALARININ NEXYS 4 ARTIX-7 FPGA KARTINA UYARLANMASI VE GERÇEKLENMESİ VERİLOGHDL İLE SAYISAL TASARIM DERS PROJESİ OSMAN KUŞCU DERS VE PROJE DANIŞMANI: YRD.DOÇ.DR. ANIL ÇELEBİ KOCAELİ, 2014

2 İçindekiler İÇİNDEKİLER... i GİRİŞ... 1 PROJE KAPSAMINDA GERÇEKLEŞTİRİLEN UYGULAMALAR... 2 FPGA NEDİR?... 4 NEXYS 4 ARTIX-7 FPGA... 6 XILINX ISE DESIGN SUITE... 9 PLAN AHEAD LABORATUVAR UYGULAMALARI LABORATUVAR UYGULAMALARI LABORATUVAR UYGULAMALARI LABORATUVAR UYGULAMALARI LABORATUVAR UYGULAMALARI LABORATUVAR UYGULAMALARI LABORATUVAR UYGULAMALARI LABORATUVAR UYGULAMALARI LABORATUVAR UYGULAMALARI LABORATUVAR UYGULAMALARI LABORATUVAR UYGULAMALARI LABORATUVAR UYGULAMALARI KAYNAKLAR... 25

3 ALTERA FPGA KARTLARI LABORATUVAR UYGULAMALARININ NEXYS 4 ARTIX-7 FPGA KARTINA UYARLANMASI VE GERÇEKLENMESİ 1. GİRİŞ Proje kapsamında gerçekleştirilen uygulamalar; Altera FPGA kartlarında gerçeklenmek üzere tasarlanan ve Altera firması tarafından yayınlanan laboratuvar uygulamalarının Nexys 4 Artix-7 FPGA kartına uyarlanıp gerçeklenmesidir. Gerçeklenen uygulamalar için Altera DE2 kartı laboratuvar uygulamaları referans alınmıştır. Bu uygulamalara aşağıda verilen adresten ulaşabilirsiniz. Projenin başlangıç aşamasında yapılması gereken en önemli durum Altera firması tarafından yayınlanan laboratuvar uygulamalarının Nexys 4 kartında gerçeklenmesi için iki FPGA kartı arasındaki farkları tespit etmekti. Altera laboratuvar uygulamalarında sıkça kullanılan anahtarların, ledlerin, butonların sayıları her iki kart içinde farklıdır. Bunun haricinde DE2 kartındaki RAM blokları ile Nexys 4 kartındaki Ram blokları ve her iki kart üzerinde bulunan buton ve anahtarların bağlanma şekilleri de (ortak anot-katot) birbirinden farklıdır. Bu durumlar göz önünde bulundurularak Altera firmasının yayınlamış olduğu laboratuvar uygulamaları Nexys 4 kartı için değiştirilip kart üzerinde gerçeklenmiştir. Nexys 4 Artix-7 FPGA kartı çalışmalarında kullanılmak üzere gerçeklenen laboratuvar uygulamalarına göre yeni laboratuvar föyleri hazırlanmıştır. Proje kapsamında kullanılan FPGA kartlarının görselleri aşağıdaki gibidir.

4 PROJE KAPSAMINDA GERÇEKLEŞTİRİLEN UYGULAMALAR Altera firması tarafından gerçekleştirilmek üzere yayınlanan laboratuvar çalışmaları 12 uygulamadan oluşmaktadır. Her uygulamada kendi içinde farklı çalışmalara ayrılmıştır. Laboratuvar Uygulamaları 1 Bu uygulamada FPGA yongalarının giriş çıkışlarını yönetmek ve devreleri bu giriş çıkışlara nasıl bağlanacağı esas alınmıştır ve bunun için Nexys 4 Artix-7 FPGA kartı üzerindeki anahtarlar, ledler, butonlar ve 7-parçalı göstergeler kullanılmıştır. Laboratuvar Uygulamaları 2 Bu uygulamada kombinasyonel devreler tasarlanarak ikilik tabanda sayılar ile onluk tabanda sayılar üzerinde işlemler yapılmış ve Nexys 4 Artix-7 FPGA kartı üzerindeki anahtarlar, ledler, butonlar ve 7-parçalı göstergeler kullanılmıştır. Laboratuvar Uygulamaları 3 Bu uygulamada yaz-boz ve kaydedicilerin mantığı anlatılmaya çalışılmış ve Nexys 4 Artix-7 FPGA kartı üzerindeki anahtarlar ve ledler kullanılmıştır. Laboratuvar Uygulamaları 4 Bu uygulamada sayaçların nasıl oluşturulacağı ve nasıl kullanılması gerektiği gösterilmiş ve Nexys 4 Artix-7 FPGA kartı üzerindeki anahtarlar, ledler, butonlar ve 7-parçalı göstergeler kullanılmıştır. Laboratuvar Uygulamaları 5 Bu uygulamada zamanlanmış devrelerin kullanımı ve gerçek zamanlı saat uygulamaları yapılmış ve Nexys 4 Artix-7 FPGA kartı üzerindeki anahtarlar, ledler, butonlar ve 7-parçalı göstergeler kullanılmıştır. Laboratuvar Uygulamaları 6 Bu uygulamada matematiksel işlemlerin FPGA kartı üzerinde nasıl gerçekleştirileceği anlatılmış ve Nexys 4 Artix-7 FPGA kartı üzerindeki anahtarlar, ledler, butonlar ve 7-parçalı göstergeler kullanılmıştır.

5 Laboratuvar Uygulamaları 7 Bu uygulamada sonlu durum makineleri (FSM) çalışma mantığı ve nasıl kullanılması gerektiği üzerinde çalışılmıştır. Nexys 4 Artix-7 FPGA kartı üzerindeki anahtarlar, ledler, butonlar ve 7-parçalı göstergeler kullanılmıştır. Laboratuvar Uygulamaları 8 Bu uygulamada bilgisayar sistemlerinde kullanılan hafıza birimlerinin (RAM-ROM) FPGA kartı üzerinde nasıl kullanılması gerektiği ve kullanıcının kendisine ait hafıza birimlerini nasıl oluşturması gerektiği üzerinde çalışılmıştır ve Nexys 4 Artix-7 FPGA kartı üzerindeki anahtarlar, ledler, butonlar, 7-parçalı göstergeler ve hafıza birimleri (RAM-ROM) kullanılmıştır. Laboratuvar Uygulamaları 9 Bu uygulamada FPGA kartında bir mikroişlemcinin nasıl tasarlanması gerektiği ve gerekli devrelerde kullanılması üzerinde çalışmalar yapılmış ve Nexys 4 Artix-7 FPGA kartı üzerindeki anahtarlar, ledler, butonlar, 7-parçalı göstergeler ve hafıza birimleri (RAM-ROM) kullanılmıştır. Laboratuvar Uygulamaları 10 Bu uygulamada Laboratuvar Uygulamaları 9 da tasarlanan mikroişlemci biraz daha geliştirilerek devrelerde gerçeklenmiştir ve Nexys 4 Artix-7 FPGA kartı üzerindeki anahtarlar, ledler, butonlar, 7-parçalı göstergeler ve hafıza birimleri (RAM-ROM) kullanılmıştır. Laboratuvar Uygulamaları 11 Bu uygulamada algoritmik durum makineleri (ASM) çalışma mantığı ve nasıl kullanılması gerektiği üzerinde çalışılmıştır. Nexys 4 Artix-7 FPGA kartı üzerindeki anahtarlar, ledler, butonlar, 7-parçalı göstergeler ve hafıza birimleri (RAM-ROM) kullanılmıştır. Laboratuvar Uygulamaları 12 Bu uygulamada FPGA kartında sayısal işaretleri incelemenin nasıl yapılması gerektiği, dışarıdan alınan analog bir işaretin dijital bir işarete dönüştürülüp incelenmesi ve tekrar analog bir işarete dönüştürülüp gözlenmesi amaçlanmıştır. Uygulamada FPGA kartındaki mikrofon girişinde alınan ve işlenen sesin ses çıkışına bağlanan hoparlör ile duyulması gerçenlenmiştir. Nexys 4 Artix-7 FPGA kartı üzerindeki mikrofon, ses çıkışı ve hafıza birimleri (RAM-ROM) kullanılmıştır.

6 2. FPGA NEDİR? FPGA (Field Programmable Gate Array) programlanabilen bir yongadır (entegre veya çipdir). Türkçede bu entegrelere "Alanda Programlanabilir Kapı Dizini" denilmektedir. FPGA'lerin içerisinde sayısal(dijital) devre tasarlamak için kullanılabilen temel devre elemanları bulunur. Flip-flop, AND, OR kapıları vb. Bu devre elemanları VHDL veya Verilog gibi donanım tanımlama dilleri (Hardware Description Language - HDL) kullanılarak veya şematik tasarım yapılarak birbirlerine bağlanır. Böylece istenilen sayısal devre sadece bir FPGA entegresi kullanarak oluşturulabilir. FPGA'lerin en önemli özelliklerinden birisi tekrar programlanabilir olmalarıdır. Yani tasarımında bir hata tespit ettiğiniz zaman FPGA kodunuzu tekrar tasarlayıp testini gerçekleştirdikten sonra kart üzerindeyken FPGA'e yükleyebilirsiniz. Bu esnek tasarım özelliklerinden dolayı, bazı entegre üreticileri, tasarımlarını önce FPGA ile denerler ve son aşamada FPGA üzerinde doğrulanmış olan bu tasarımı ASIC tasarıma dönüştürüp entegrelerini piyasaya sunarlar. FPGA entegreleri genelde paralel işlem gerektiren yüksek performanslı özel tasarımlar için kullanılmaktadır. Görüntü işleme, sayısal işaret işleme (Digital Signal Processing - DSP) işlemleri buna örnek olarak verilebilir. Ama tasarımınız sıralı bir işlem akışına uygun ise mikroişlemci kullanmak daha mantıklı olacaktır. Bu noktada unutulmaması gereken şudur: Mikroişlemcilerin iç mimarisi sabittir ve kod değişiklikleri temelde bu mimari üzerinde komut akışının değişimi anlamına gelir ve FPGA'lere göre test ve doğrulama işlemleri daha kolay gerçekleştirilebilir. FPGA'lerde ise, tasarımınız, entegrenin içindeki donanım yapısını ifade eder. Her kod değişikliği mimari ve sinyal zamanlamaları açısından farklı yapılar ile sonuçlanabilir. Aynı zamanda FPGA tasarımı sırasında bir veya birden fazla tasarım yazılımı kullanmanız gerekebilir (tasarım girişi, sentez ve benzeşim adımları için). Bundan dolayı FPGA tasarımlarında yapılan kod/tasarım değişikliklerini doğrulamak daha uzun zaman alabilir ve uzmanlaşma gerektirir. FPGA i, içindeki transistörleri birbirinden bağımsız ve serbest olarak üretilmiş ham bir entegre olarak düşünebiliriz. Bizim belirlediğimiz fonksiyona göre FPGA içindeki transistörler birbirlerine bağlanır ve bu sayede istediğimiz fonksiyonu gerçekleştirir. Yani teorik olarak transistör kapasitesi dâhilinde aklımıza gelen herhangi bir entegrenin yaptığı işi FPGA ile yapabiliriz. Örneğin gerçek zamanlı yüksek çözünürlüklü bir video görüntüsü üzerinde filtreleme işlemi yapmak istiyoruz. Video aslında peş peşe sıralanan resimlerdir ve bu resimlerin her birine frame denilmektedir. En basit haliyle bunun için videonun bir resim karesini giriş portlarından almamız, onu filtrelememiz ve çıkış portlarından göndermemiz gerekir. Sonra ikinci resim için de aynı işlemleri gerçek zamanlı olarak tekrarlamak durumundayız. Standart entegreler (örneğin bir mikroişlemci) kullanırsak bu üç işlemi (alma, filtreleme, gönderme) sırayla yapıp bitirdikten sonra gelen ikinci resmi almaya başlarız. Eğer bu işlemleri yeterince

7 hızlı yapamazsak sıradaki resmi kaçırabiliriz. FPGA de ise bu işlemler paralel olarak devam eder. Örneğin ilk resmi alıp filtreleme işlemini yaparken ikinci resmi almaya başlarız. İlk resmi gönderirken ikinci resmi filtrelemeye ve üçüncü resmi almaya başlarız. Bunun yanında, filtreleme işlemi genel olarak yoğun çarpım gerektirmektedir. Standart bir işlemci ile bu çarpma işlemlerini de sırayla yapmak zorundayız. Oysaki FPGA ile bu işlemleri de paralel olarak yani çok hızlı bir şekilde yapabiliriz. Özet olarak, FPGA ler bize paralel işlem kabiliyeti sunan ve içyapısını istediğimiz fonksiyon ve uygulamaya göre değiştirebildiğimiz donanım-programlanabilir entegrelerdir. FPGA ile mikroişlemci arasındaki en büyük ve temel fark FPGA in donanımsal olarak sabit bir yapıya sahip olmayıp kullanıcı uygulamasına göre programlanabilir olmasıdır. İşlemciler ise sabit bir donanım yapısına sahiptir. Yani içerisindeki tüm transistör, bellek, çevrebirimi yapıları ve bağlantıları sabittir. İşlemcinin yapabileceği işlemler (toplama, çarpma, I/O kontrol, vs) önceden tanımlıdır ve kullanıcı yazılımla bu işlemleri kendi amacına uygun olarak sıralı bir şekilde işlemciye yaptırabilir. FPGA de ise donanım yapısı sabit değildir ve kullanıcı tarafından tanımlanır. FPGA içerisindeki mantık hücreleri sabit olmakla birlikte bunların gerçekleştirdiği fonksiyonlar ve aralarındaki bağlantılar kullanıcı tarafından belirlenir. Dolayısıyla FPGA in yapabileceği işlemler önceden tanımlanmış değildir. Yazılan HDL koduna göre istediğiniz işlemleri paralel olarak yani aynı anda yaptırabilirsiniz. Paralel işlem yapabilme yeteneği FPGA yi işlemciden ayıran ve birçok alanda üstün kılan en önemli özelliklerdendir.

8 3. NEXYS 4 ARTIX-7 FPGA Digilent firmasına ait NEXYS 4 kartı, Xilinx firmasına ait Artix-7 FPGA yini üzerinde barındırmaktadır. NEXYS 4 kartı üzerinde bulunan bileşenler aşağıdaki şekilde gösterilmiştir. 1 Güç Seçim Bağlantısı 13 FPGA Resetleme Butonu 2 UART/JTAG USB Portu 14 CPU Resetleme Butonu 3 Yapılandırılmış SD/USB Bağlantısı 15 Analog İşaretler PMOD Konektörü 4 PMOD Konektörü 16 Programlama Modu Bağlantısı 5 Mikrofon 17 Ses Konektörü 6 Güç Kaynağı Test Noktası 18 VGA Konektörü 7 Ledler (16) 19 FPGA Programlandı Ledi 8 Anahtarlar (16) 20 Ethernet Konektörü 9 7-Parçalı Göstergeler (8) 21 USB Konektörü 10 JTAG Portu İçin Dış Kablo 22 PIC24 Programlama Portu 11 Butonlar (5) 23 Güç Anahtarı 12 Sıcaklık Sensörü 24 Güç Girişi (5V)

9 NEXYS 4 kartı üzerinde bulunan bazı birimlerin Artix-7 FPGA yongasına bağlanma şekilleri ve FPGA yongasına bağlı oldukları pin numaraları aşağıdaki şekilde belirtilmiştir. Şekilde gösterilen Artix-7 FPGA yongasına bağlı birimleri kullanmak için yapılması gereken bazı hususlar vardır. Örneğin; 7-parçalı göstergeleri kullanmak için FPGA yongası üzerinde bizim kullanımımıza açık M1,L1,N4,N2 pinleri vardır. AN7 değişkeni Artix-7 FPGA yongasında M1 pinine bağlanmıştır. AN7 değişkenine mantık değeri 1 verildiği zaman yani M1 pinine 3.3V gerilim verildiği zaman transistörün bacakları arasında gerilim farkı oluşmadığı için akım

10 akmaz ve 7 parçalı gösterge beslenemez. AN7 değişkenine mantık değeri 0 verildiği zaman yani M1 pinine gerilim verilmediği zaman transistörün bacakları arasında gerilim farkı oluşur ve 7 parçalı gösterge beslenir. Başka bir örnek verecek olursak Artix-7 FPGA yongasında kullanıma açık 16 tane led bağlıdır. Bu ledler FPGA yongasının T8,V9,R8, pinlerine bağlanmıştır. T8 pinine mantık değeri 0 verildiği zaman ledin bağlı olduğu telden akım akmayacak, dolayısıyla led yanmayacaktır. Fakat T8 pinine mantık değeri 1 yani o pine 3.3V gerilim verilirse ledin bağlı olduğu telden akım akacak ve led yanacaktır. Bir başka örnekte anahtarların çalışma şekline bakalım. FPGA yongasının R7 pinine anahtar bağlanmıştır. Anahtar 0 konumunda iken R7 pini toprak ile bağlantılıdır. Fakat anahtar 1 konumunda iken R7 pini 3.3V gerilim değerine sahiptir. Artix-7 FPGA yongasına bağlı kullanıma açık hafıza birimleri de aşağıdaki şekilde gösterilmiştir. NEXYS 4 kartına ait en kapsamlı bilgileri aşağıdaki adresten edinebiliriz.

11 4. XILINX ISE DESING SUITE Bu bölüme kadar projede uygulanması laboratuvar çalışmalarından ve NEXYS 4 Artix-7 FPGA kartının özelliklerinden bahsettik. Bu bölümde ise laboratuvar uygulamalarını NEXYS 4 Artix-7 FPGA kartında gerçekleştirmek için kullanacağımız programdan bahsedeceğiz. Xilinx firması ürettikleri FPGA yongalarını programlayabilmek için ISE Design Suite programını geliştirmişlerdir. Proje kapsamında öncelikli olarak ISE Design Suite programında nasıl yeni proje oluşturulacağından, Verilog programlama dili ile yazılan çalışmaların Artix-7 FPGA yongasına nasıl gönderileceğinden bahsedeceğim. İlk olarak ISE Design Suite programında yeni proje oluşturmayı şekillerle inceleyelim. ISE Design Suite programı açıldıktan sonra New Project sekmeleri tıklandıktan sonra projemizin kaydedileceği yeri ve proje ismimizi belirlemeliyiz. Sonraki adımda aşağıdaki şekilde olduğu gibi; Evaluation Development Board, Product Category, Family, Device, Package, Speed ve Preferred Language ara yüzlerinin seçimleri doğru yapılmalıdır. Artix-7 FPGA yongasının özellikleri yukarıda seçildiği gibidir. Her yonga için ara yüzlerden o yonganın özelliklerinin seçilmesine dikkat edilmelidir.

12 Proje ismi ve FPGA yongasının özellikleri seçildikten sonra yapılması gereken adım Verilog programlama dili için yeni bir kaynak eklemektir. Bunun için yandaki şekilde yuvarlak içinde bulunan New Source butonu tıklanmalıdır. New Source butonuna tıklandıktan sonra karşımıza çıkan New Source Wizard ara yüzü aşağıdaki şekilde gösterilmiştir. Şekilde olduğu gibi projemiz için farklı kaynaklar ekleyebiliriz. Projemizin genelinde en fazla kullanacağımız kaynaklar ilk olarak Verilog Module, Verilog Test Fixture ve IP (Core Generator) dir. Verilog Module kaynağını seçtikten sonra modülün ismini girip projemize başlayabiliriz.

13 Projenin bu aşamasında ise Verilog programlama dili ile oluşturulan çalışmanın Artix-7 FPGA yongasına nasıl gönderileceğinden bahsedeceğim. FPGA yongasına ISE Design Suite programında oluşturulan projeyi göndermek için IMPACT aracını kullanıyoruz. Öncelikli amacımız projemizin ISE Design Suite programı tarafından doğru bir şekilde sentezlenmesidir. Sentezleme işlemi tamamlandıktan sonra aşağıdaki şekilde gösterilen Manage Configuration Project (IMPACT) bölümüne geçilmelidir. Açılan pencerede Boundary Scan bölümüne geçilip Add Xilinx Device seçilerek projemiz IMPACT aracına yüklenmelidir. Sonraki adımda ise aşağıdaki şekilde sembol olarak gösterilen FPGA yongasına sağ tıklanıp Program seçilerek FPGA yongasının programlanması beklenmelidir.

14 5. PLAN AHEAD Bu bölümde Verilog programlama dili ile oluşturduğumuz çalışmanın FPGA yongasında gerçekleşebilmesi için, FPGA in oluşturduğumuz çalışmada kullanacağımız pinlerinin belirtilmesinden bahsedeceğim. Verilog programlama dili ile NEXYS 4 kartı üzerindeki bir ledi yakmak için Artix-7 FPGA yongasında o ledin bağlı olduğu pine mantık değeri 1 verilmesi gerektiğini daha önce belirtmiştim. Pinlerin oluşturduğumuz çalışmada hangi değişkene karşılık geldiği belirtilmelidir. Aksi takdirde projemiz ISE Design Suite programı tarafından zaten derlenemeyecektir. Verilog programlama dili ile oluşturulan projede değişkenlerin hangi pinlere karşılık geldiğinin ayarlanması Plan Ahead programı sayesinde yapılmaktadır.

15 6. LABORATUVAR UYGULAMALARI 1 Laboratuvar Uygulamaları 1 bölümünde FPGA yongalarının pinlerine erişmek ve erişilen pinlerdeki giriş ve çıkışları kontrol etmek amaçlanmıştır. Yapılan uygulamalarda öncelikle anahtarların durumları ledlerde gözlenmiştir. Böylelikle FPGA pinlerine doğru bir şekilde erişildiği anlaşılmıştır. Diğer uygulamalarda ise verilog programlama dilinde bilgi seçici modülü oluşturulup anahtarlarla belirlenen bilgi işaretleri yine anahtarlarla seçilerek çıkışlarda (led) sonuçlar gözlenmiştir. Anahtarlarla belirlenen bilgiler bazı uygulamalarda sayı, bazı uygulamalarda ise H-E-L-O harfleri göstergelerde gözlenmiştir. Bilgi seçici modülü kullanılarak kayan yazı H-E-L-L-O uygulaması yapılmıştır.

16 7. LABORATUVAR UYGULAMALARI 2 Laboratuvar Uygulamaları 2 bölümünde kombinasyonel devreler tasarlanarak NEXYS 4 kartı üzerindeki anahtar, led ve göstergeler kullanılmıştır. Yapılan uygulamalarda öncelikle anahtarla girilen sayıların onaltılık tabanda ve onluk tabandaki değerleri göstergelerde gözlenmiştir. Diğer uygulamalarda ise anahtarlar ile girilen sayıların toplamları önce ledlerde daha sonra göstergelerde gözlenmiştir. Sayılar ilk aşamalarda tek basamaklı seçilirken sonraki aşamalarda 2 basamaklı istenmiştir.

17 8. LABORATUVAR UYGULAMALARI 3 Laboratuvar Uygulamaları 3 bölümünde yaz-boz ve kaydediciler üzerinde çalışmalar yapılmıştır. Yapılan çalışmalarda SR-Latch yapısı üzerinde simülasyonlar yapılıp istenen devreler gerçeklenmiştir. Bizden istenen SR-Latch ve gerçeklenen devreler aşağıdaki şekillerde belirtilmiştir.

18 9. LABORATUVAR UYGULAMALARI 4 Laboratuvar Uygulamaları 4 bölümünde sayaç tasarımları, sayaçların FPGA yongasında kullanımları ile ilgili uygulamalar yapılmıştır. Yapılan uygulamalarda öncelikle kullanıcı kontrollü sayıcı modülü tasarlanmıştır. clock sinyali kullanıcı tarafından butonlarla sağlanmıştır. Sonuçlar göstergelerde gözlenmiştir. Diğer uygulamalarda ise sayıcı kullanıcı kontrolünden çıkarılıp saniyede bir artması istenmiştir ve sonuçlar göstergelerde gözlenmiştir. Laboratuvar Uygulamaları 1 bölümünde bilgi seçici modülü ile yapılan kayan yazı H-E-L-L-O uygulaması bu bölümde tekrar uygulanmıştır. Ancak bu sefer bilgi seçici modülü yerine saniyede bir artması istenmiştir.

19 10. LABORATUVAR UYGULAMALARI 5 Laboratuvar Uygulamaları 5 bölümünde sayıcı modülleri geliştirilerek zamanlanmış devreler tasarlanmıştır. Gerçek zamanlı sonuçlar NEXYS 4 kartı üzerinde gözlenmiştir. Yapılan uygulamalarda öncelikle Laboratuvar Uygulamaları 4 te yapılana benzer bir şekilde kullanıcı kontrollü sayıcı tasarlanıp sonuçlar ledlerde gözlenmiştir. Saniyede bir artan 3 basamaklı sayı tasarlanıp göstergelere atanmıştır. Gerçek zamanlı saat uygulaması yapılmıştır. İkişer göstergede saat, dakika ve saniyeler gözlenmiştir. Son olarak Mors Alfabesi nin ilk sekiz harfi ledlerde gözlenmiştir. Mors Alfabesi nokta ve çizgilerden oluşan ve telgraf haberleşmesinde kullanılan bir alfabedir. Uygulamada bizden istenen nokta karakterinin NEXYS 4 kartı üzerindeki bir ledi 0.5 sn, çizgi karakterinin ise 1.5 sn yakmasıdır. Mors alfabesinin ilk sekiz harfinin şeması aşağıda verilmiştir.

20 11. LABORATUVAR UYGULAMALARI 6 Laboratuvar Uygulamaları 6 bölümünde matematiksel işlemlerin Artix-7 FPGA yongasında uygulanması ve sonuçlarının göstergelerde gözlenmesi amaçlanmıştır. Yapılan uygulamalarda öncelikle iki basamaklı 2 sayı anahtarlar yardımı ile belirlenmiş ve toplamları göstergelerde gözlenmiştir. Diğer uygulamalarda ise iki basamaklı 2 sayının farkı, tek basamaklı ve iki basamaklı sayıların çarpımları göstergelerde gözlenmiştir.

21 12. LABORATUVAR UYGULAMALARI 7 Laboratuvar Uygulamaları 7 bölümünde sonlu durum makineleri (FSM) tasarımı ve FPGA yongaları üzerinde kullanımı üzerinde çalışılmıştır. Yapılan çalışmalarda; giriş değerinin dört saat darbesi boyunca değişmemesi durumunda çıkışı mantık değeri 1 yapan sonlu durum makinesi tasarlanmıştır. Tasarlanacak olan sonlu durum makinesinin durum diyagramı ve simülasyon sonuçları aşağıdaki şekillerde gösterilmiştir. Sonuçlar ledlerde gözlenmiştir.

22 13. LABORATUVAR UYGULAMALARI 8 Laboratuvar Uygulamaları 8 bölümünde bilgisayar sistemlerinde kullanılan hafıza birimlerinin (RAM-ROM) FPGA kartı üzerinde nasıl kullanılması gerektiği ve kullanıcının kendisine ait hafıza birimlerini nasıl oluşturması gerektiği üzerinde çalışılmıştır. Yapılan çalışmalarda ilk olarak ISE Design Suite programının IP Core Generator aracı kullanılarak FPGA yongası içinde RAM bloğu oluşturulmuştur. Oluşturulan RAM bloğu içindeki adreslere kullanıcı tarafından veriler yazılmış ve belirli adreslere yazılan veriler okunmuştur. Diğer uygulamalarda ise yine RAM bloğu oluşturmak amaçlanmıştır. Ancak bu sefer IP Core Generator aracı yerine Verilog programlama dilindeki reg değişkeni kullanılarak RAM bloğu oluşturulmuştur. Artix-7 FPGA yongasına bağlı SRAM e müdahele edilip içindeki veriler değiştirilmiştir. Laboratuvar Uygulamaları 8 bölümünde hafıza birimlerinin oluşturulması, oluşturulan hafıza birimlerine veriler yazılması ve yazılan verilerin okunulması gerçeklenmiştir.

23 14. LABORATUVAR UYGULAMALARI 9 Laboratuvar Uygulamaları 9 bölümünde FPGA yongası üzerinde bir mikroişlemcinin nasıl tasarlanması gerektiği ve gerekli devrelerde kullanılması üzerinde çalışmalar yapılmıştır. Bir mikroişlemcinin çalışma şekli ve tasarlanmak istenen mikroişlemcinin gerçekleştirmesi gereken komutlar aşağıdaki şekillerde verilmiştir. Yapılan ilk çalışmada anahtarlarla girilen veriler ve yine anahtarlarla girilen komutlar FPGA yongasında gerçekleştirilip göstergeler ve ledler üzerinde gözlenmiştir. mv komutu ile bir kaydedici içindeki veri diğer kaydediciye yazılmıştır. mvi komutu ile dışarıdan yada RAM dan alınan veri kaydediciye yazılmıştır. add ve sub komutları ile iki kaydedicinin barındırdığı veriler toplanıp yada çıkartılıp kaydedicilerden birine yazılmıştır. Laboratuvar Uygulamaları 9 bölümünde basit düzeyde bir mikroişlemci FPGA yongasında tasarlanıp gerçeklenmiştir.

24 15. LABORATUVAR UYGULAMALARI 10 Laboratuvar Uygulamaları 10 bölümünde bir önceki uygulamada yapılan mikroişlemci geliştirilmek istenmiştir. Geliştirilmiş mikroişlemcinin çalışma şekli ve eklenen komutlar aşağıdaki şekillerde gösterilmiştir. Laboratuvar Uygulamaları 9 bölümünde tasarlanan mikroişlemciye ek olarak ld, st, mvnz konutları eklenmiştir. ld komutu kaydedicideki verinin RAM de gösterdiği adresteki veriyi başka bir kaydediciye yazma görevini üstlenir. st komutu ise kaydedicideki veriyi diğer bir kaydedicinin içindeki verinin RAM de gösterdiği adrese yazılmasını sağlar. mvnz komutu ise bir kaydedicideki verinin diğer kaydediciye yazılmasını koşullu bir şekilde yapan komuttur. Laboratuvar Uygulamaları 10 bölümünde geliştirilmiş düzeyde bir mikroişlemci FPGA yongasında tasarlanıp gerçeklenmiştir.

25 16. LABORATUVAR UYGULAMALARI 11 Laboratuvar Uygulamaları 11 bölümünde aritmetik durum makinelerinin (ASM) nasıl oluşturulması gerektiği ve FPGA yongaları üzerinde nasıl kullanılması gerektiği üzerine çalışmalar yapılmıştır. Yapılan çalışmalarda ilk olarak kullanıcı tarafından girilen 8 bitlik bir sayının kaç tane 1 değeri barındırdığı aritmetik durum makineleri kullanılarak hesaplanmıştır ve göstergelerde gözlenmiştir. ASM durum diyagramı aşağıdaki şekilde gösterilmiştir. Diğer uygulamada ise kullanıcı tarafından girilen bir sayının oluşturulan RAM bloğu içinde herhangi bir adreste olup olmadığı ASM bloğu kullanılarak gerçeklenmiştir.

26 17. LABORATUVAR UYGULAMALARI 12 Laboratuvar Uygulamaları 12 bölümünde FPGA kartında sayısal işaretleri incelemenin nasıl yapılması gerektiği, dışarıdan alınan analog bir işaretin dijital bir işarete dönüştürülüp incelenmesi ve tekrar analog bir işarete dönüştürülüp gözlenmesi konusunda çalışmalar yapılmıştır. Yapılan çalışmalarda ilk olarak NEXYS 4 kartı üzerindeki ADMP421 mikrofon modülü kullanılarak analog bir veri olan ses işareti PDM modülü kullanılarak Artix-7 kartında oluşturulan kaydedicilere kaydedilmiştir. Daha sonra kaydedilen verilen AUD_PWM modülünde süzgeçlenerek mono audio out çıkışına bağlanan hoparlör ya da kulaklık ile analog bir veri olarak dinlenmiştir. ADMP421 mikrofon modülü ve AUD_PWM devre şeması aşağıdaki şekillerde belirtilmiştir.

27 KAYNAKLAR

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula Programlanabilir Lojik Basit Programlanabilir Lojik Cihazlar (Simple Programmable Logic Device - SPLD) ** PAL (Programmable

Detaylı

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER PROJE OLUŞTURMA ŞEMATİK DOSYASI OLUŞTURULMASI VERILOG DOSYASI OLUŞTURULMASI TEST DOSYASI OLUŞTURULMASI XILINX ISE SIMULATOR İLE BENZETİM YAPILMASI PİN

Detaylı

LAB 0 : Xilinx ISE Kullanımı

LAB 0 : Xilinx ISE Kullanımı LAB 0 : Xilinx ISE Kullanımı 1. Proje Yaratma a. Xilinx ISE programını açınız. b. File à New Project menüsünü seçiniz. New Project Wizard diyalog penceresi açılacaktır. c. New Project Wizard diyalog penceresinde

Detaylı

Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/

Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/ Eşzamanlı (Senkron) Ardışıl Devrelerin Tasarlanması (Design) Bir ardışıl devrenin tasarlanması, çözülecek olan problemin sözle anlatımıyla (senaryo) başlar. Bundan sonra aşağıda açıklanan aşamalardan geçilerek

Detaylı

Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları

Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları 2018-2019 Güz Yarıyılı Mühendislik Tasarım-3 ve Bitirme Çalışması Konuları Dr.Öğr.Üyesi Anıl Çelebi OV7670 kamera üzerinden VGA üzerinden görüntü aktarma ve video sinyal işleme. Bu çalışmada OV7670 sensöründen

Detaylı

İçindekiler FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ SİSTEMİ ŞEMASI:... 5 FPGA GELİŞTİRME KARTINA PROGRAM YÜKLEME:... 6

İçindekiler FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ SİSTEMİ ŞEMASI:... 5 FPGA GELİŞTİRME KARTINA PROGRAM YÜKLEME:... 6 Hazırlayan: Erkan ÇİL 2016 2 FPGA Geliştirme Kartı Kullanıcı Kılavuzu İçindekiler FPGA Geliştirme Kartı Bilgileri FPGA Geliştirme Kartının Kullanımı FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ

Detaylı

DERS 5 PIC 16F84 PROGRAMLAMA İÇERİK. PIC 16F84 bacak bağlantıları PIC 16F84 bellek yapısı Program belleği RAM bellek Değişken kullanımı Komutlar

DERS 5 PIC 16F84 PROGRAMLAMA İÇERİK. PIC 16F84 bacak bağlantıları PIC 16F84 bellek yapısı Program belleği RAM bellek Değişken kullanımı Komutlar DERS 5 PIC 16F84 PROGRAMLAMA İÇERİK PIC 16F84 bacak bağlantıları PIC 16F84 bellek yapısı Program belleği RAM bellek Değişken kullanımı Komutlar Ders 5, Slayt 2 1 BACAK BAĞLANTILARI Ders 5, Slayt 3 PIC

Detaylı

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar) Bus

Detaylı

ELEKTRONİK ÇİZELGE. Hücreleri Biçimlendirme. Formülleri Kullanma. Verileri Sıralama. Grafik Oluşturma 1) HÜCRELERİ BİÇİMLENDİRME

ELEKTRONİK ÇİZELGE. Hücreleri Biçimlendirme. Formülleri Kullanma. Verileri Sıralama. Grafik Oluşturma 1) HÜCRELERİ BİÇİMLENDİRME Hücreleri Biçimlendirme ELEKTRONİK ÇİZELGE Formülleri Kullanma Verileri Sıralama Grafik Oluşturma 1) HÜCRELERİ BİÇİMLENDİRME Elektronik Çizelge de sayıları; bin ayracı, yüzde oranı, tarih/saat ve para

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Bilgisayar Bileşenleri Bilgisayarın Fonksiyonu Instruction Cycle Kesmeler (Interrupt lar)

Detaylı

FPGA ile Gömülü Sistem Tasarımına Giriş

FPGA ile Gömülü Sistem Tasarımına Giriş FPGA ile Gömülü Sistem Tasarımına Giriş Bilg. Yük. Müh. Selçuk BAŞAK SelSistem Bilgi ve İletişim Teknolojileri www.selsistem.com.tr Giriş Gömülü Sistemler Programlanabilir Lojik - SPLD FPGA & CPLD Donanım

Detaylı

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL Bu dönemki Bil264L dersinde kullanacağımız Xilinx ISE Web 14.7 programında nasıl proje oluşturacağımız, oluşturduğumuz devreleri nasıl test edeceğimiz ve bu devreleri

Detaylı

Çalışma Açısından Bilgisayarlar

Çalışma Açısından Bilgisayarlar Çalışma Açısından Bilgisayarlar Ölçme sistemi ile hesaplama sistemi birbiriyle ilgili olmasına rağmen aynı değillerdir. Suyun sıcaklığı ve gürültünün şiddeti ile evdeki lambaların ölçülmesi aynı değillerdir.

Detaylı

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI Yard. Doç. Dr. Özdemir ÇETİN Sunu Başlıklar kları 1. Amaç 2. Temel Bilgiler 1. SoC (System-On-Chip) nedir? 2. SoC donanım araçları ASIC (Application

Detaylı

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi Buse Ustaoğlu Berna Örs Yalçın İçerik Giriş Çalişmanın Amacı Mikroişlemciye Hata Enjekte Etme Adımları Hata Üreteci Devresi

Detaylı

18 Ekim Programlarımız ile Uyumlu Olan Yazar Kasa Modelleri. Profilo VX 680 E-1 Profilo YK-8200 Farex FR-8300 Telestar TLS-8100

18 Ekim Programlarımız ile Uyumlu Olan Yazar Kasa Modelleri. Profilo VX 680 E-1 Profilo YK-8200 Farex FR-8300 Telestar TLS-8100 18 Ekim 2016 İlgili Versiyon/lar : ETA:SQL, ETA:V.8-SQL İlgili Modül/ler : ÖKC Modülü YENİ NESİL ÖDEME KAYDEDİCİ CİHAZLAR (E-Data / Profilo) Programlarımızda Ödeme Kaydedici Cihazlar modülünü kullanarak,

Detaylı

Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose

Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose Mehmet BAKACAK, Taner TOPAL Bilgisayar Mühendisliği Kırıkkale

Detaylı

BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ

BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ KARADENİZ TEKNİK ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ TASARIM PROJESİ ÇALIŞMASI PİC PROGRAMLAMA İLE BASİT UÇAK OYUNU MEHMET HALİT İNAN BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BAHAR 2014 KARADENİZ TEKNİK ÜNİVERSİTESİ

Detaylı

SAYISAL MANTIK LAB. PROJELERİ

SAYISAL MANTIK LAB. PROJELERİ 1. 8 bitlik Okunur Yazılır Bellek (RAM) Her biri ayrı adreslenmiş 8 adet D tipi flip-flop kullanılabilir. RAM'lerde okuma ve yazma işlemleri CS (Chip Select), RD (Read), WR (Write) kontrol sinyalleri ile

Detaylı

Gömülü Sistemler. (Embedded Systems)

Gömülü Sistemler. (Embedded Systems) Gömülü Sistemler (Embedded Systems) Tanım Gömülü Sistem (Embedded System): Programlanabilir bilgisayar içeren fakat kendisi genel amaçlı bilgisayar olmayan her türlü cihazdır. Gömülü Sistem (Embedded System):

Detaylı

Teorik Bilgi DENEY 7: ASENKRON VE SENKRON SAYICILAR

Teorik Bilgi DENEY 7: ASENKRON VE SENKRON SAYICILAR DENEY 7: ASENKRON VE SENKRON SAYICILAR Deneyin Amaçları Asenkron ve senkron sayıcı devre yapılarının öğrenilmesi ve deneysel olarak yapılması Deney Malzemeleri 74LS08 Ve Kapı Entegresi (1 Adet) 74LS76

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile PWM Sinyal Ü retim Üygulaması FPGA İLE UYGULAMA ÖRNEKLERİ 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda deneme kartımız üzerinde bulunan 1 adet LED

Detaylı

Algoritmalar ve Programlama. Algoritma

Algoritmalar ve Programlama. Algoritma Algoritmalar ve Programlama Algoritma Algoritma Bir sorunu / problemi çözmek veya belirli bir amaca ulaşmak için gerekli olan sıralı mantıksal adımların tümüne algoritma denir. Algoritma bir sorunun çözümü

Detaylı

EEM 419-Mikroişlemciler Güz 2017

EEM 419-Mikroişlemciler Güz 2017 EEM 419-Mikroişlemciler Güz 2017 Katalog Bilgisi : EEM 419 Mikroişlemciler (3+2) 4 Bir mikroişlemci kullanarak mikrobilgisayar tasarımı. Giriş/Çıkış ve direk hafıza erişimi. Paralel ve seri iletişim ve

Detaylı

KASIRGA 4. GELİŞME RAPORU

KASIRGA 4. GELİŞME RAPORU KASIRGA 4. GELİŞME RAPORU 14.07.2008 Ankara İçindekiler İçindekiler... 2 Giriş... 3 Kasırga Birimleri... 3 Program Sayacı Birimi... 3 Bellek Birimi... 3 Yönlendirme Birimi... 4 Denetim Birimi... 4 İşlem

Detaylı

İÇİNDEKİLER 1. KLAVYE... 11 2. KLAVYE RB0... 19 3. KLAVYE RBHIGH... 27 4. 4 DİSPLAY... 31

İÇİNDEKİLER 1. KLAVYE... 11 2. KLAVYE RB0... 19 3. KLAVYE RBHIGH... 27 4. 4 DİSPLAY... 31 İÇİNDEKİLER 1. KLAVYE... 11 Satır ve Sütunlar...11 Devre Şeması...14 Program...15 PIC 16F84 ile 4x4 klavye tasarımını gösterir. PORTA ya bağlı 4 adet LED ile tuş bilgisi gözlenir. Kendiniz Uygulayınız...18

Detaylı

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL Bu dönemki Bil264L dersinde kullanacağımız Xilinx ISE Web 14.7 programında nasıl proje oluşturacağımız, oluşturduğumuz devreleri nasıl test edeceğimiz ve bu devreleri

Detaylı

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits)

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits) SE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates nd Logic Circuits) Sakarya Üniversitesi Lojik Kapılar - maçlar Lojik kapıları ve lojik devreleri tanıtmak Temel işlemler olarak VE,

Detaylı

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir.

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir. Bilgisayar Mimarisi İkilik Kodlama ve Mantık Devreleri Yrd.Doç.Dr. Celal Murat KANDEMİR ESOGÜ Eğitim Fakültesi - BÖTE twitter.com/cmkandemir Kodlama Kodlama (Coding) : Bir nesneler kümesinin bir dizgi

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Giriş Mikro işlemler Fetch cycle Indirect cycle Interrupt cycle Execute cycle Instruction

Detaylı

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber FPGA ile Kablosuz Görüntü Aktarımı Yusuf Onur Koçberber Seminer 2009 Yaz Plan FPGA Genel bilgiler FPGA nın İç Yapısı, Nasıl Programlanabiliyor?, Nasıl Çalışıyor? Neden bu kadar popüler oldu? MPW Her şeyin

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Seri Haberleşme (RS232) Uygulaması

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Seri Haberleşme (RS232) Uygulaması FPGA ile Seri Haberleşme (RS232) Uygulaması 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda, geliştirme kartımız üzerinde bulunan giriş / çıkış pinlerini FT232RL

Detaylı

FP52 PROXIMITY KART OKUYUCUSU KULLANIM KILAVUZU

FP52 PROXIMITY KART OKUYUCUSU KULLANIM KILAVUZU FP52 PROXIMITY KART OKUYUCUSU KULLANIM KILAVUZU FP52 kart okuyucusunu; Mody serisi dış kapı buton modülleri ile birlikte kullanılır. Fp52 ile iki farklı röle çıkışı vardır.500 kullanıcıya kadar tanımlanabilir.

Detaylı

Bilgisayar Donanım 2010 BİLGİSAYAR

Bilgisayar Donanım 2010 BİLGİSAYAR BİLGİSAYAR CPU, bellek ve diğer sistem bileşenlerinin bir baskı devre (pcb) üzerine yerleştirildiği platforma Anakart adı verilmektedir. Anakart üzerinde CPU, bellek, genişleme yuvaları, BIOS, çipsetler,

Detaylı

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş

BÖLÜM 2 8051 Mikrodenetleyicisine Giriş C ile 8051 Mikrodenetleyici Uygulamaları BÖLÜM 2 8051 Mikrodenetleyicisine Giriş Amaçlar 8051 mikrodenetleyicisinin tarihi gelişimini açıklamak 8051 mikrodenetleyicisinin mimari yapısını kavramak 8051

Detaylı

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür.

İŞLEMCİLER (CPU) İşlemciler bir cihazdaki tüm girdilerin tabii tutulduğu ve çıkış bilgilerinin üretildiği bölümdür. İŞLEMCİLER (CPU) Mikroişlemci Nedir? Mikroişlemci, hafıza ve giriş/çıkış birimlerini bulunduran yapının geneline mikrobilgisayar; CPU' yu bulunduran entegre devre çipine ise mikroişlemci denir. İşlemciler

Detaylı

İçİndekİler. 1. Bölüm - Mİkro Denetleyİcİ Nedİr? 2. Bölüm - MİkroDenetleyİcİlerİ Anlamak

İçİndekİler. 1. Bölüm - Mİkro Denetleyİcİ Nedİr? 2. Bölüm - MİkroDenetleyİcİlerİ Anlamak XIII İçİndekİler 1. Bölüm - Mİkro Denetleyİcİ Nedİr? Mikrodenetleyici Tanımı Mikrodenetleyicilerin Tarihçesi Mikroişlemci- Mikrodenetleyici 1. İki Kavram Arasındaki Farklar 2. Tasarım Felsefesi ve Mimari

Detaylı

BESLEME KARTI RF ALICI KARTI

BESLEME KARTI RF ALICI KARTI BESLEME KARTI Araç üzerinde bulunan ve tüm kartları besleyen ünitedir.doğrudan Lipo batarya ile beslendikten sonra motor kartına 11.1 V diğer kartlara 5 V dağıtır. Özellikleri; Ters gerilim korumalı Isınmaya

Detaylı

Programlanabilir Sayısal Sistemler

Programlanabilir Sayısal Sistemler T.C. MALTEPE ÜNİVERSİTESİ MÜHENDİSLİK VE DOĞA BİLİMLERİ FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ LİSANS PROGRAMI 2011-12 Bahar Yarıyılı Programlanabilir Sayısal Sistemler BİL322 AKTS Kredisi 5 3. yıl 6. yarıyıl

Detaylı

Haftalık Ders Saati Okul Eğitimi Süresi

Haftalık Ders Saati Okul Eğitimi Süresi DERSİN ADI BÖLÜM PROGRAM DÖNEMİ DERSİN DİLİ DERS KATEGORİSİ ÖN ŞARTLAR SÜRE VE DAĞILIMI KREDİ DERSİN AMACI ÖĞRENME ÇIKTILARI VE YETERLİKLER DERSİN İÇERİĞİ VE DAĞILIMI (MODÜLLER VE HAFTALARA GÖRE DAĞILIMI)

Detaylı

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ İçerik Mikroişlemci Sistem Mimarisi Mikroişlemcinin yürüttüğü işlemler Mikroişlemci Yol (Bus) Yapısı Mikroişlemci İç Veri İşlemleri Çevresel Cihazlarca Yürütülen İşlemler

Detaylı

DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler

DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler DENEY 2a- JK Flip-Flop Devreleri DENEYİN AMACI 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi. GENEL

Detaylı

ANALOG ADRESLİ YANGIN ALARM SİSTEMİ YAZILIM KULLANMA KILAVUZU

ANALOG ADRESLİ YANGIN ALARM SİSTEMİ YAZILIM KULLANMA KILAVUZU ANALOG ADRESLİ YANGIN ALARM SİSTEMİ YAZILIM KULLANMA KILAVUZU Bilgisayar üzerinden analog adresli yangın algılama sisteminde bulunan detektörlere yer atama, kontak izleme modülünün senaryo belirtilmesi

Detaylı

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur.

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur. HDL Dilleri HDL(Donanım Tanımlama Dili); tasarımın, HDL dillerinden her hangi bir tanesinin kullanılarak yapılmasıdır. HDL bir donanım parçasını modellemek için kullanılan yazılım dilidir. VHDL ile Verilog

Detaylı

Mikroişlemcili Sistemler ve Laboratuvarı

Mikroişlemcili Sistemler ve Laboratuvarı SAKARYA ÜNİVERSİTESİ Bilgisayar ve Bilişim Bilimleri Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemcili Sistemler ve Laboratuvarı Hafta04 : 8255 ve Bellek Organizasyonu Doç.Dr. Ahmet Turan ÖZCERİT

Detaylı

YILDIZ TEKNIK ÜNİVERSİTESİ ELEKTRİK - ELEKTRONİK FAKULTESİ ELEKLTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ

YILDIZ TEKNIK ÜNİVERSİTESİ ELEKTRİK - ELEKTRONİK FAKULTESİ ELEKLTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ YILDIZ TEKNIK ÜNİVERSİTESİ ELEKTRİK - ELEKTRONİK FAKULTESİ ELEKLTRONİK VE HABERLEŞME MÜHENDİSLİĞİ BÖLÜMÜ GEZGİN ROBOT UYGULAMASI ORHAN BEDİR ORHAN MERT Proje Danışmanı : Y.Doç.Dr. Tuncay UZUN İstanbul,

Detaylı

ATBRFN. Radyo Frekansı (RF) Tabanlı Dorse Takip Birimi. Bilgi Dokümanı (ATBRFN) www.dtsis.com 1

ATBRFN. Radyo Frekansı (RF) Tabanlı Dorse Takip Birimi. Bilgi Dokümanı (ATBRFN) www.dtsis.com 1 Radyo Frekansı (RF) Tabanlı Dorse Takip Birimi (ATBRFN) Bilgi Dokümanı www.dtsis.com 1 İçindekiler 1. Genel Tanım... 3 2. Sistem Tanımı... 4 2.1. Master Cihaz... 4 2.1.1. Blok Diyagram... 4 2.1.2. Teknik

Detaylı

Arduino nedir? Arduino donanım ve yazılımın kolayca kullanılmasına dayalı bir açık kaynak elektronik platformdur.

Arduino nedir? Arduino donanım ve yazılımın kolayca kullanılmasına dayalı bir açık kaynak elektronik platformdur. Arduino nedir? Arduino donanım ve yazılımın kolayca kullanılmasına dayalı bir açık kaynak elektronik platformdur. Açık kaynak nedir? Açık kaynak, bir bilgisayar yazılımının makina diline dönüştürülüp kullanımından

Detaylı

4. HAFTA KBT104 BİLGİSAYAR DONANIMI. KBUZEM Karabük Üniversitesi Uzaktan Eğitim Uygulama ve Araştırma Merkezi

4. HAFTA KBT104 BİLGİSAYAR DONANIMI. KBUZEM Karabük Üniversitesi Uzaktan Eğitim Uygulama ve Araştırma Merkezi 4. HAFTA KBT104 BİLGİSAYAR DONANIMI Karabük Üniversitesi Uzaktan Eğitim Uygulama ve Araştırma Merkezi 2 Konu Başlıkları Merkezî İşlem Birimi Mikroişlemci(CPU) Çok Çekirdekli Kavramı Çoklu Çekirdek Tasarımı

Detaylı

GAP COTTON GAPCOTTON LAB PORTALI. Kullanım Kılavuzu.

GAP COTTON GAPCOTTON LAB PORTALI. Kullanım Kılavuzu. GAP COTTON GAPCOTTON LAB PORTALI Kullanım Kılavuzu www.gapcotton.org İçindekiler İÇİNDEKİLER GAP COTTON... 1 GAPCOTTON LAB PORTALI... 1 Kullanım Kılavuzu... 1 İçindekiler... 2 A. Sunuş... 4 1. Kayıt İşlemi...

Detaylı

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme

MEB YÖK MESLEK YÜKSEKOKULLARI PROGRAM GELİŞTİRME PROJESİ. 1. Tipik bir mikrobilgisayar sistemin yapısı ve çalışması hakkında bilgi sahibi olabilme PROGRAMIN ADI DERSIN KODU VE ADI DERSIN ISLENECEGI DÖNEM HAFTALIK DERS SAATİ DERSİN SÜRESİ ENDÜSTRİYEL ELEKTRONİK MİK.İŞLEMCİLER/MİK.DENETLEYİCİLER-1 2. Yıl, III. Yarıyıl (Güz) 4 (Teori: 3, Uygulama: 1,

Detaylı

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Altera fpga kartları için derleyici programı Quartus tur. Aşağıdaki linkten quartus programı indirilebilir; https://www.altera.com/download/dnl-index.jsp

Detaylı

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK Yıldız Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü Lisans Üstü Semineri Bahar 2009 Giriş Programlanabilir Lojik - SPLD FPGA

Detaylı

Bilgisayar Mimarisi Nedir?

Bilgisayar Mimarisi Nedir? BİLGİSAYAR MİMARİSİ Bilgisayar Mimarisi Nedir? Bilgisayar mimarisi, diğer mimariler gibi, bir yapı kullanıcısının ihtiyaçlarını belirleme ve bu ihtiyaçları ekonomik ve teknolojik kısıtlamalar dahilinde

Detaylı

ADUC841 MİKRODENETLEYİCİ TABANLI GELİŞTİRME KARTININ TANITIMI:

ADUC841 MİKRODENETLEYİCİ TABANLI GELİŞTİRME KARTININ TANITIMI: ADUC841 MİKRODENETLEYİCİ TABANLI GELİŞTİRME KARTININ TANITIMI: Aduc841 geliştirme kartının genel görüntüsü aşağıda verilmiştir; RS232 ANALOG USB ÇIKIŞ ANALOG GİRİŞ POTLAR TEXT LCD EKRAN GÜÇ KAYNAĞI LEDLER

Detaylı

BQ101 GSM Access Kontrol Ünitesi Windows Programı. Kullanım Kılavuzu. Doküman Versiyon: 1.1 16.11.2015 BQTEK

BQ101 GSM Access Kontrol Ünitesi Windows Programı. Kullanım Kılavuzu. Doküman Versiyon: 1.1 16.11.2015 BQTEK BQ101 GSM Access Kontrol Ünitesi Windows Programı Kullanım Kılavuzu Doküman Versiyon: 1.1 16.11.2015 BQTEK İçindekiler İçindekiler... 2 1. Genel Bilgi... 3 2. Program Ayarlarının ve Cihaz Bağlantısının

Detaylı

Fatih Üniversitesi. İstanbul. Haziran 2010. Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır.

Fatih Üniversitesi. İstanbul. Haziran 2010. Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır. Fatih Üniversitesi SIMATIC S7-200 TEMEL KUMANDA UYGULAMALARI 1 İstanbul Haziran 2010 Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır. İÇİNDEKİLER 1. GİRİŞ...

Detaylı

HSancak Nesne Tabanlı Programlama I Ders Notları

HSancak Nesne Tabanlı Programlama I Ders Notları Konsol Uygulaması Oluşturma Konsol uygulaması oluşturmak için program açıldıktan sonra Create: Project ya da New Project seçeneği tıklanabilir. New Project penceresini açmak için farklı yollar da vardır.

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ Yrd. Doç. Dr. Mustafa Hikmet Bilgehan UÇAR 6. HAFTA BİLEŞİK MANTIK DEVRELERİ (COMBINATIONAL LOGIC) Aritmetik İşlem Devreleri

Detaylı

S50 Parmak izi Cihazı Kullanım Kılavuzu. S50 Genel Tanıtım

S50 Parmak izi Cihazı Kullanım Kılavuzu. S50 Genel Tanıtım S50 Parmak izi Cihazı Kullanım Kılavuzu S50 Genel Tanıtım Fonksiyon Tanımı İlgili özellik ve input için aşağıdaki tabloyu inceleyiniz Programlama Moduna Giriş * 888888 # Kodundan sonra programlamaya başlayabilirsiniz

Detaylı

(Random-Access Memory)

(Random-Access Memory) BELLEK (Memory) Ardışıl devreler bellek elemanının varlığı üzerine kuruludur Bir flip-flop sadece bir bitlik bir bilgi tutabilir Bir saklayıcı (register) bir sözcük (word) tutabilir (genellikle 32-64 bit)

Detaylı

8051 Ailesi MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur çekirdeğinin temel özellikkleri aşağıda verilmiştir:

8051 Ailesi MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur çekirdeğinin temel özellikkleri aşağıda verilmiştir: 8051 Ailesi 8051 MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur. 8051 çekirdeğinin temel özellikkleri aşağıda verilmiştir: 1. Kontrol uygulamaları için en uygun hale getirilmiş

Detaylı

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN

Bilgisayar Mühendisliğine Giriş. Yrd.Doç.Dr.Hacer KARACAN Bilgisayar Mühendisliğine Giriş Yrd.Doç.Dr.Hacer KARACAN Mikroişlemci Nedir? Bir bilgisayarın en önemli parçası Mikroişlemcisidir. Hiçbir bilgisayar mikroişlemci olmadan çalışamaz. Bu nedenle Mikroişlemci

Detaylı

80C51 Mikrodenetleyicilerinde Timer-Counter Yapılarının FPGA Mimarileri Kullanılarak Geliştirilmesi. Özdemir ÇETİN 1. Bölümü, Adapazarı

80C51 Mikrodenetleyicilerinde Timer-Counter Yapılarının FPGA Mimarileri Kullanılarak Geliştirilmesi. Özdemir ÇETİN 1. Bölümü, Adapazarı 80C51 Mikrodenetleyicilerinde Timer-Counter Yapılarının FPGA Mimarileri Kullanılarak Geliştirilmesi Özet Murat ÇAKIROĞLU 1 Ahmet Turan ÖZCRİT 1 alil İbrahim SKİKURT 1 Özdemir ÇTİN 1 1 Sakarya Üniversitesi,

Detaylı

İçindekiler Tablosu Talep Destek Yönetim Sistemi Programı...3

İçindekiler Tablosu Talep Destek Yönetim Sistemi Programı...3 İçindekiler Tablosu Talep Destek Yönetim Sistemi Programı...3 1. Özellikler.3 2. Kullanım..3 2.1. Ana Sayfa..5 2.2. Talep Modülü.7 2.3. Takibim Modülü 9 2.4. Takipte Modülü..11 2.5. Silinen Talepler Modülü...11

Detaylı

DENEY-2. SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ

DENEY-2. SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ DENEY-2 SABANCI ATL ÖĞRETMENLERİNDEN YAVUZ AYDIN ve UMUT MAYETİN'E VERDİKLERİ DESTEK İÇİN TEŞEKKÜR EDİYORUZ 31 DENEY 2-1: YEDİ SEGMENT GÖSTERGE ÜZERİNDE VERİ GÖRÜNTÜLEME AMAÇ: Mikrodenetleyicinin portuna

Detaylı

Adresli Sistem Özellikleri ve Kablo Türleri

Adresli Sistem Özellikleri ve Kablo Türleri Adresli Sistem Adresli Sistem Özellikleri ve Kablo Türleri Özellikleri: Sınırsız kapasite Girişi görüntüleme Giriş ile konuşma 4 daire içi ünite için bir adet video & ses distribütör kullanılır Protokol

Detaylı

V Daq Kurulum adımları

V Daq Kurulum adımları V Daq Kurulum adımları 1. Kurulum dosyasının indirilmesi 2. Kurulum işlemleri 3. Seri port ayarlamaları 4. Kurulum klasörünün Matlab yoluna eklenmesi 5. Kurulu değil ise real time çekirdeğinin kurulması

Detaylı

MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ

MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ KARADENİZ TEKNİK ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BİLGİSAYAR ORGANİZASYONU LABORATUVARI MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ 1. GİRİŞ Analog işaretleri sayısal işaretlere dönüştüren elektronik devrelere

Detaylı

BİLGİ TEKNOLOJİLERİ SMO103

BİLGİ TEKNOLOJİLERİ SMO103 BİLGİ TEKNOLOJİLERİ SMO103 2. HAFTA BİLGİSAYAR SİSTEMİ, BİLGİSAYARI OLUŞTURAN BİRİMLER VE ÇALIŞMA PRENSİPLERİ ANAKART, İŞLEMCİ VE BELLEKLER SABİT DİSKLER, EKRAN KARTLARI MONİTÖRLER VE DİĞER DONANIM BİRİMLERİ

Detaylı

Tüm personel kendi KEP hesapları üzerinden mevcut ve önceki maaş bordrolarını görüntüleyebilecektir.

Tüm personel kendi KEP hesapları üzerinden mevcut ve önceki maaş bordrolarını görüntüleyebilecektir. Mikro e-bordro Nedir? E-Bordro Uygulaması, Mikro Personel Programı tarafından oluşturulan maaş bordrolarının, otomatik olarak personel kartında tanımlı KEP adresi üzerinden toplu gönderimini sağlar. Mikro

Detaylı

DENEY 2- Sayıcılar. 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi.

DENEY 2- Sayıcılar. 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi. DENEY 2- Sayıcılar DENEY 2- JK Flip-Flop Devreleri DENEYİN AMACI 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi. GENEL BİLGİLER Sayıcılar flip-floplar

Detaylı

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş 29.11.2016 İÇERİK Arduino Nedir? Arduino IDE Yazılımı Arduino Donanım Yapısı Elektronik Bilgisi

Detaylı

Programlanabilir Devreler

Programlanabilir Devreler Programlanabilir Devreler Testbench & Simülasyon İçerik Tasarlamış olduğumuz sayısal sistemlerin fonksiyonel olarak istenildiği gibi gerçekleştirdiğini doğrulamak gerekir. Verilog ve VHDL gibi donanım

Detaylı

VHDL. Ece Olcay Güneş & S. Berna Örs

VHDL. Ece Olcay Güneş & S. Berna Örs VHDL Ece Olcay Güneş & S. Berna Örs Giriş VHDL VHSIC Hardware Description Language in kısaltmasıdır. VHSIC Very High Speed Integrated Circuit in kısaltmasıdır. VHDL dışında da pekçok donanım tasarlama

Detaylı

C PROGRAMLAMA YRD.DOÇ.DR. BUKET DOĞAN PROGRAM - ALGORİTMA AKIŞ ŞEMASI

C PROGRAMLAMA YRD.DOÇ.DR. BUKET DOĞAN PROGRAM - ALGORİTMA AKIŞ ŞEMASI C PROGRAMLAMA DİLİ YRD.DOÇ.DR. BUKET DOĞAN 1 PROGRAM - ALGORİTMA AKIŞ ŞEMASI Program : Belirli bir problemi çözmek için bir bilgisayar dili kullanılarak yazılmış deyimler dizisi. Algoritma bir sorunun

Detaylı

Mimari. risi. Yazar: İşlemci Mimar. bulunmasını istediğimiz. mimariyi. Şekil 1

Mimari. risi. Yazar: İşlemci Mimar. bulunmasını istediğimiz. mimariyi. Şekil 1 İşlemci Mimar risi Yazar: Erman Üret (ermanuret@gmail.com) Bu yazıda işlemci tasarımı yapılırken izlenecek yol ve unsurlar ile yapılması gerekli dokümantasyon hakkında bilgi verilecektir. İşlemci tasarımındaki

Detaylı

BETİ GSM/GPRS MODEM KULLANIM KILAVUZU

BETİ GSM/GPRS MODEM KULLANIM KILAVUZU BETİ GSM/GPRS MODEM KULLANIM KILAVUZU Yayınlanış Tarihi: 01.08.2012 Revizyon:1.2 1 1. MODEM İN ÖZELLİKLERİ: Beti GSM/GPRS modem kartı, kullanıcıların M2M uygulamaları için ihtiyaç duyabilecekleri asgari

Detaylı

Digital Design HDL. Dr. Cahit Karakuş, February-2018

Digital Design HDL. Dr. Cahit Karakuş, February-2018 Digital Design HDL Dr. Cahit Karakuş, February-2018 NOT, AND, and OR Gates NAND and NOR Gates DeMorgan s Theorem Exclusive-OR (XOR) Gate Multiple-input Gates Basic Logic Gates and Basic Digital Design

Detaylı

Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü

Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü FBs-4A2D, FATEK FBs'nin PLC serilerinin analog I/O modullerinden biridir. Analog çıkışları için 2 kanallı 14bitlik D/A çıkışı sağlar. Farklı jumper ayarlarına

Detaylı

SAYI VE KODLAMA SİSTEMLERİ. Teknoloji Fakültesi/Bilgisayar Mühendisliği

SAYI VE KODLAMA SİSTEMLERİ. Teknoloji Fakültesi/Bilgisayar Mühendisliği SAYI VE KODLAMA SİSTEMLERİ Teknoloji Fakültesi/Bilgisayar Mühendisliği Neler Var? Sayısal Kodlar BCD Kodu (Binary Coded Decimal Code) - 8421 Kodu Gray Kodu Artı 3 (Excess 3) Kodu 5 de 2 Kodu Eşitlik (Parity)

Detaylı

UniGSM Arduino SHIELD (UniGSM-A) KURULUM ve KULLANIM KİTAPÇIĞI

UniGSM Arduino SHIELD (UniGSM-A) KURULUM ve KULLANIM KİTAPÇIĞI UniGSM Arduino SHIELD (UniGSM-A) KURULUM ve KULLANIM KİTAPÇIĞI UniGSM KARTI UniGSM GSM/GPRS kartı, hem Arduino kartlarına hem Raspberry kartlarına hem de bağımsız olarak (Stand alone) kullanılabilen bir

Detaylı

4-Deney seti modüler yapıya sahiptir ve kabin içerisine tek bir board halinde monte edilmiştir.

4-Deney seti modüler yapıya sahiptir ve kabin içerisine tek bir board halinde monte edilmiştir. MDS 8051 8051 AİLESİ DENEY SETİ 8051 Ailesi Deney Seti ile piyasada yaygın olarak bulunan 8051 ailesi mikro denetleyicileri çok kolay ve hızlı bir şekilde PC nizin USB veya Seri portundan gönderdiğiniz

Detaylı

Horoz Programlanabilir Zaman Rölesi

Horoz Programlanabilir Zaman Rölesi Horoz lanabilir Zaman Rölesi 1 Giriş: Horoz programlanabilir zaman rölesi android işletim sistemine sahip mobil cihazlar ile bluetooth üzerinden programlanabilen bir zaman rölesidir. Röle üzerinde 1 adet

Detaylı

Bitirme Ödevi Sunumu PLATFORM BAĞIMSIZ BENZETİM PROGRAMI. Danışman : Yrd.Doç.Dr. D Feza BUZLUCA Gökhan Akın ŞEKER

Bitirme Ödevi Sunumu PLATFORM BAĞIMSIZ BENZETİM PROGRAMI. Danışman : Yrd.Doç.Dr. D Feza BUZLUCA Gökhan Akın ŞEKER Bitirme Ödevi Sunumu BERKELEY RISC I işlemcisi İÇİN PLATFORM BAĞIMSIZ BENZETİM PROGRAMI Danışman : Yrd.Doç.Dr. D Feza BUZLUCA 0495 0639 Sunum Planı Ödev konusu hakkında Berkeley RISC I işlemcisi hakkında

Detaylı

8051 Ailesi MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur çekirdeğinin temel özellikkleri aşağıda verilmiştir:

8051 Ailesi MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur çekirdeğinin temel özellikkleri aşağıda verilmiştir: 8051 Ailesi 8051 MCS51 ailesinin orijinal bir üyesidir ve bu ailenin çekirdeğini oluşturur. 8051 çekirdeğinin temel özellikkleri aşağıda verilmiştir: 1. Kontrol uygulamaları için en uygun hale getirilmiş

Detaylı

İşletim Sistemleri (Operating Systems)

İşletim Sistemleri (Operating Systems) İşletim Sistemleri (Operating Systems) 1 İşletim Sistemleri (Operating Systems) Genel bilgiler Ders kitabı: Tanenbaum & Bo, Modern Operating Systems:4th ed., Prentice-Hall, Inc. 2013 Operating System Concepts,

Detaylı

CJ-CP1H-CP1L PLCLERĐNDE FONKSĐYON BLOĞU OLUŞTURMA

CJ-CP1H-CP1L PLCLERĐNDE FONKSĐYON BLOĞU OLUŞTURMA CJ-CP1H-CP1L PLCLERĐNDE FONKSĐYON BLOĞU OLUŞTURMA ĐÇĐNDEKĐLER Fonksiyon Bloğu Oluşturma Input ve Outputların Tanıtılması Programın Yazılması Programın Çalıştırılması Fonksiyon Bloğu Oluşturma Öncelikle

Detaylı

NB Macro Kullanımı Hakkında Genel Bilgiler

NB Macro Kullanımı Hakkında Genel Bilgiler NB Macro Kullanımı Hakkında Genel Bilgiler Genel Bilgi Makro Nasıl Eklenir? NB Ekranlarda Genel Makro Mantığı Makro Nasıl Çağrılır? Örnek Makro Projesi Genel Bilgi Makro, gelişmiş bir HMI kontrol metodudur.

Detaylı

HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları

HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları Ders Adı Ders Kodu Dönemi Ders Saati Uygulama Saati Laboratuar Saati Kredi AKTS HDL ile Gelişmiş Sayısal Tasarım EE 425 Her İkisi 2 2 0 3 5 Ön Koşul

Detaylı

Buse Ustaoğlu 1, Berna Örs Yalçın 2. İstanbul Teknik Üniversitesi ustaoglubu@itu.edu.tr. İstanbul Teknik Üniversitesi siddika.ors@itu.edu.tr.

Buse Ustaoğlu 1, Berna Örs Yalçın 2. İstanbul Teknik Üniversitesi ustaoglubu@itu.edu.tr. İstanbul Teknik Üniversitesi siddika.ors@itu.edu.tr. Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi ve Hata Tespit Mekanizmasının Gerçeklenmesi Improvement Fault Injection Method In A Microprocessor Based System and Implementation

Detaylı

Temel Bilgi Teknolojileri -1

Temel Bilgi Teknolojileri -1 Temel Bilgi Teknolojileri -1 Dr. Öğr. Üyesi Aslı Eyecioğlu Özmutlu aozmutlu@bartin.edu.tr 1 Konu Başlıkları Donanım Bileşenleri Harici Donanım Dahili Donanım 2 Donanım Bileşenleri Bilgisayarın fiziksel

Detaylı

Embedded(Gömülü)Sistem Nedir?

Embedded(Gömülü)Sistem Nedir? Embedded(Gömülü)Sistem Nedir? Embedded Computing System de amaç; elektronik cihaza bir işlevi sürekli tekrar ettirmektir. Sistem içindeki program buna göre hazırlanmıştır. PC lerde (Desktop veya Laptop)

Detaylı

WEB SİTESİ YÖNETİM PANELİ KULLANMA YÖNERGESİ

WEB SİTESİ YÖNETİM PANELİ KULLANMA YÖNERGESİ WEB SİTESİ YÖNETİM PANELİ KULLANMA YÖNERGESİ Web Sitesi Yönetim Paneli, Üniversitemiz web sitesinde, birimlerimize ait web sitelerindeki içerikler üzerinde ekleme, silme, değiştirme gibi güncelleme işlemlerini,

Detaylı

İÇERİK PROGRAMLAMAYA GİRİŞ ALGORİTMA AKIŞ DİYAGRAMLARI PROGRAMLAMA DİLLERİ JAVA DİLİNİN YAPISI JAVA DA KULLANILAN VERİ TİPLERİ JAVA DA PROGRAM YAZMA

İÇERİK PROGRAMLAMAYA GİRİŞ ALGORİTMA AKIŞ DİYAGRAMLARI PROGRAMLAMA DİLLERİ JAVA DİLİNİN YAPISI JAVA DA KULLANILAN VERİ TİPLERİ JAVA DA PROGRAM YAZMA İÇERİK PROGRAMLAMAYA GİRİŞ ALGORİTMA AKIŞ DİYAGRAMLARI PROGRAMLAMA DİLLERİ JAVA DİLİNİN YAPISI JAVA DA KULLANILAN VERİ TİPLERİ JAVA DA PROGRAM YAZMA UYGULAMA Örnek: Yandaki algoritmada; klavyeden 3 sayı

Detaylı

Mikroişlemcili Sistemler ve Laboratuvarı 5.HAFTA:BÖLÜM-1

Mikroişlemcili Sistemler ve Laboratuvarı 5.HAFTA:BÖLÜM-1 SAKARYA ÜNİVERSİTESİ Bilgisayar ve Bilişim Bilimleri Fakültesi Bilgisayar Mühendisliği Bölümü Mikroişlemcili Sistemler ve Laboratuvarı 5.HAFTA:BÖLÜM-1 Doç.Dr. Ahmet Turan ÖZCERİT Doç.Dr. Cüneyt BAYILMIŞ

Detaylı

fastpay Uygulamasına Nasıl Kayıt olur ve Giriş Yapabilirim?

fastpay Uygulamasına Nasıl Kayıt olur ve Giriş Yapabilirim? 1 fastpay nedir? Cep Telefonuma Nasıl Yükleyebilirim? fastpay Uygulamasına Nasıl Kayıt olur ve Giriş Yapabilirim? fastpay den Hangi İşlemleri Yapabilirim? fastpay Kampanyaları Sıkça sorulan sorular 2 Nedir?

Detaylı

Optik Sürücüler CD/CD-ROM DVD HD-DVD/BLU-RAY DİSK Disket Monitör LCD LED Projeksiyon Klavye Mouse Mikrofon Tarayıcı

Optik Sürücüler CD/CD-ROM DVD HD-DVD/BLU-RAY DİSK Disket Monitör LCD LED Projeksiyon Klavye Mouse Mikrofon Tarayıcı 1 Donanım Bileşenleri ve Çalışma Prensipleri Anakart (Mainboard) İşlemci (Cpu) Ekran Kartı Bellekler Ram Rom Ses Kartı Ağ Kartı TV Kartı Sabit Diskler HDD HHD SSD Optik Sürücüler CD/CD-ROM DVD HD-DVD/BLU-RAY

Detaylı

NB Ekran Seri Port Üzerinden Veri Okuma/Yazma. Genel Bilgi Protokol Oluşturma Veri Okuma Veri Yazma

NB Ekran Seri Port Üzerinden Veri Okuma/Yazma. Genel Bilgi Protokol Oluşturma Veri Okuma Veri Yazma NB Ekran Seri Port Üzerinden Veri Okuma/Yazma Genel Bilgi Protokol Oluşturma Veri Okuma Veri Yazma Genel Bilgi NB Ekranlar üzerinde 2 adet seri port bulunmaktadır. Bu portları kullanarak noprotocol modunda

Detaylı

Anakart ve Bileşenleri CPU, bellek ve diğer bileşenlerinin bir baskı devre (pcb) üzerine yerleştirildiği platforma Anakart adı

Anakart ve Bileşenleri CPU, bellek ve diğer bileşenlerinin bir baskı devre (pcb) üzerine yerleştirildiği platforma Anakart adı S a y f a 1 Anakart ve Bileşenleri CPU, bellek ve diğer bileşenlerinin bir baskı devre (pcb) üzerine yerleştirildiği platforma Anakart adı verilmektedir. Anakart üzerinde CPU, bellek, genişleme yuvaları,

Detaylı