T.C. RC SERVO MOTOR KONTROLÜ

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "T.C. RC SERVO MOTOR KONTROLÜ"

Transkript

1 T.C. BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ RC SERVO MOTOR KONTROLÜ İBRAHİM ALİ METİN BİLECİK 30 Mart 2015

2 T.C. BİLECİK ŞEYH EDEBALİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ RC SERVO MOTOR KONTROLÜ İBRAHİM ALİ METİN BİLECİK 30 Mart 2015

3 ÖZET Ödevin Amacı Bu projemizde, FPGA kiti ile 1 adet PWM modul tasarlayacağız. PWM modülümüzü, RC Servo motor sürmek için kullanacağız. RC Servo motorlar model hobide kullanılan motorlardır.rc (radio Control) Hobi 2 yıldır ilgilendiğim birçok model kullandığım tavsiye edebileceğim çok güzel bir uğraştır. Ödevin Kapsamı Projem FPGA kitinde pwm modülü oluşumunu, kendi içinde üretilen clock sinyalinin motorun hareketinde kullanımı hakkında ki genel yapıyı içermektedir. Sonuçlar Sonuç olarak FPGA kitimize bağlı olan servo motorlarımız belirli bir açı süpürmektedir. ii

4 ABSTRACT Homework Objective In this project, one with FPGA device we designed PWM module. Our PWM module, we will use the RC servo motor to drive. Scope of Homework My project FPGA device formation PWM module contains general structure on the use of clock signals generated by the movement of the engine itself. Results In conclusion, our servo motor connected to the FPGA device sweeps a certain angle. iii

5 İçindekiler ÖZET ABSTRACT ŞEKİL LİSTESİ ii iii v 1 RC SERVO MOTOR Servo Motorun Özellikleri VHDL ile SERVO MOTOR KONTROLÜ 3 3 ALTERA ile RC SERVO BAĞLANTILARIM 6 4 DENEYSEL SONUÇLAR 7 5 EKLER RC Servo Motor Kontrol Kodlarım pwmtop.vhd clk64khz.vhd pwm.vhd KAYNAKLAR 11 iv

6 Şekil Listesi 1 Servo Motor PWM Modülü Servo Motor Açısal Pozisyonu Vhdl Ana Ekranımız Vhdl Clock Sinyali Vhdl Pwm Üretici Pin Planer Servo Motor Bağlantıları Vcc, GND ve PWM bağlantısı v

7 1 RC SERVO MOTOR R/C Servo Motor dediğimiz şey dc akımla çalışan ve istenilen açı aralığında dönen motorardır. R/C, Radio Controlled anlamına gelir. Servco motorlar DC Motorların temel mantığını kullanırlar. Fakat buna karşın elektronik pozisyon kontrol devresi ve elektronik şaft gibi ekstra bileşenleri vardır. Servo motor şaftın kaç derece ve hangi hızda döndüğünü algılar ve girişe bunu geri besleme olarak verir. Motorun pozisyonunu algılamak için rotora takılı bir potansiyometre bulunur. Bu potansiyometreden gelen analog değer ile inputtaki sinyal karşılaştırılır ve output olarak motorun yeni pozisyonu kontrol edilir.[1] Şekil 1: Servo Motor R/C servo motorun pozisyonunu kontrol etmek için PWM sinyali kullanılır. Motoru un inputuna gelen PWM sinyalinin görev çevrimine(duty cycle) göre motor, pozisyon değiştirir. R/C Servo Motorlarda pozisyon açısal derece esasına göre kontrol edilir. Pozisyon kontrol devresi PWM sinyalinin görev çevrimine göre döneceği dereceyi hesaplar. PWM sinyalinin çevrim süresi aynı kaldığı müddetçe motor pozisyon değiştirmez. Şekil 2: PWM Modülü 1

8 Yalnız önemli olan nokta şudur ki; servo motorda dönmenin gerçekleşebilmesi için PWM siyalinin frekansı 50 Hz olmalıdır. Yani kontrol sinyalinin periodu 20 ms olmalıdır. 0 ve 180 derece arasındaki pozisyonlar PWM sinyalinin görev çevrimi süresinin 1 ms ile 2 ms arasında gerçekleşir. PWM sinyalinin 1 ms görev çevrim süresi için servo motor 0 derecelik pozisyondadır. Şemadan da görüldüğü gibi 0.5 ms lik ve 2 ms lik çevrim sürelerinde servo motorun pozisyonu 90 derece değişir. Servo motorların supply voltajı 4.5 volt ile 6 volt arasında değişir. Bu voltaj değerleri motorun tork una göre değişkenlik gösterir. R/C servo motorların digital olanlarıda vardır. Bu motorlar normale göre daha hassas açı ile dönerler. Şekil 3: Servo Motor Açısal Pozisyonu 1.1 Servo Motorun Özellikleri - Diğer motor türlerine göre daha hassastır. - Açısal dönme yöntemi (yani encoder) ile çalışmaktadır. - Bir sürücü ile kontrol edilirler. - Endüstride çok yaygın bir şekilde kullanılmaktadır. - Mikrobilgisayarlar tarafından kolayca kontrol edilebilirler. - Dönme esnasında oluşan hata sadece adım hatasıdır. 2

9 - Hızı programlama yoluyla ayarlanabilir. Uygulama alanlarına örnek verecek olursak CNC makineler, robot kolları, endüstriyel taşıma sistemlerini örnek verebiliriz. Servo motorlar da çıkış; mekaniksel konum, hız veya ivme gibi parametrelerin kontrol edildiği bir düzenektir. 2 VHDL ile SERVO MOTOR KONTROLÜ Quartus programını kullanarak Vhdl programlama dilince kodlamalarımızı gerçekleştirdik.[?] Şekil 4: Vhdl Ana Ekranımız burada diger vhdl sayfalarında kodladıgımız clock sinyalini ve pwm modül kodlamalarımız çağırıyoruz. 3

10 Şekil 5: Vhdl Clock Sinyali Bu vhdl kodlarımızda devremizin çalışması için kendi içinde gerekli olan 50Mhz lik clock sinyalinin çalışması için gereli kodlar yazılıyor. Şekil 6: Vhdl Pwm Üretici Programımızda, peryodu 20 ms olan bir adet PWM sinyali üretmemiz gerekecek. Biz Geliştirme bourdumuzda 50 MHz lik (20 ns) bir clk sinyali kullanacağımız. 20 ms periodunda bir sinyal üretmek için, programımızda 1 adet counter değişkeni tanımlayacağız 4

11 ve Counter ın üst sınırını ise (20ms/20ns) olarak belirleyeceğiz. Şekil 7: Pin Planer burada ise kitimizde ki giriş ve çıkışlarımızı ilgili pinlere atama işlemi gerçekleştiriliyor. Kodlama ve pin atama işlemimizi bu şekilde gerçekleştirdiktan sonra programımızın çalışmasını test edelim. 5

12 3 ALTERA ile RC SERVO BAG LANTILARIM S ekil 8: Servo Motor Bag lantıları S ekil 9: Vcc, GND ve PWM bag lantısı 6

13 4 DENEYSEL SONUÇLAR Çalışmamın uygulamasını linkindeki kanalımdan izleyebilirsiniz. 7

14 5 EKLER 5.1 RC Servo Motor Kontrol Kodlarım pwmtop.vhd library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_unsigned.all; entity pwm_top is port( clr : in std_logic; clk : in std_logic; duty : in std_logic_vector (7 downto 0); pwm : out std_logic ); end pwm_top; architecture pwm_top of pwm_top is signal new_clock : std_logic; begin clk_div: entity work.clk64khz port map( clk => clk, reset => 0, clk_out => new_clock); Pulse: entity work.pwm port map( clr => clr, clk => new_clock, duty => duty, period => " ", pwm => pwm); end pwm_top; clk64khz.vhd library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity clk64khz is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; clk_out: out STD_LOGIC ); end clk64khz; architecture Behavioral of clk64khz is signal temporal: STD_LOGIC; signal counter : integer range 0 to 4999 := 0; 8

15 begin freq_divider: process (reset, clk) begin if (reset = 1 ) then temporal <= 0 ; counter <= 0; elsif rising_edge(clk) then if (counter = 4999) then temporal <= NOT(temporal); counter <= 0; else counter <= counter + 1; end if; end if; end process; clk_out <= temporal; end Behavioral; pwm.vhd library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_unsigned.all; entity pwm is port( clr : in std_logic; clk : in std_logic; duty : in std_logic_vector (7 downto 0); period : in std_logic_vector (7 downto 0); pwm : out std_logic ); end pwm; architecture pwm of pwm is signal count : std_logic_vector(7 downto 0); begin cnt: process(clk, clr) -- 4 bit counter begin if clr = 1 then count <= " "; elsif clk event and clk = 1 then if count = period -1 then count <= " "; else count <= count +1; end if; end if; end process cnt; pwmout: process(count, duty) begin if count < duty then 9

16 pwm <= 1 ; else pwm <= 0 ; end if; end process pwmout; end pwm; 10

17 6 KAYNAKLAR Kaynaklar [1] 11

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz.

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz. Girilen iki sayının birbiriyle karşılaştırılıp sonucunda büyük, küçük veya eşit sinyallerinin verileceği bir programı VHDL dili ile yazınız. A : karşılaştırılacak 1.sayıdır. 8 bitlik giriştir. B : karşılaştırılacak

Detaylı

2019

2019 Uygulama Kitapçığı www.toybotmaker.com 2019 TOYBOT PORT YAPISI Pin Numarası Fonksiyon 3, 5, 6 ve 9 PWM 4 Buzzer 7 Kırmızı LED 8 Sarı LED 10 Servo motor 11 Yeşil LED 12 ve 13 Mesafe sensörü 1) TOYBOT İLE

Detaylı

VHDL. Ece Olcay Güneş & S. Berna Örs

VHDL. Ece Olcay Güneş & S. Berna Örs VHDL Ece Olcay Güneş & S. Berna Örs Giriş VHDL VHSIC Hardware Description Language in kısaltmasıdır. VHSIC Very High Speed Integrated Circuit in kısaltmasıdır. VHDL dışında da pekçok donanım tasarlama

Detaylı

FPGA ile Gömülü Sistem Tasarımına Giriş

FPGA ile Gömülü Sistem Tasarımına Giriş FPGA ile Gömülü Sistem Tasarımına Giriş Bilg. Yük. Müh. Selçuk BAŞAK SelSistem Bilgi ve İletişim Teknolojileri www.selsistem.com.tr Giriş Gömülü Sistemler Programlanabilir Lojik - SPLD FPGA & CPLD Donanım

Detaylı

Servus Latince kökenli,

Servus Latince kökenli, Servus Latince kökenli, köle anlamına gelmektedir. Servo Mekanizma istenilen gösterge değerlerine göre hareket eden bir kontrol mekanizmasıdır. yüksek kararlılıkta çalışabilmek için çalışma şartlarını

Detaylı

Tek Vuruşluk Đşlemci. -- Company : Ege Universitesi, Elektrik-Elektronik Mühendisliği

Tek Vuruşluk Đşlemci. -- Company : Ege Universitesi, Elektrik-Elektronik Mühendisliği Tek Vuruşluk Đşlemci -- Company : Ege Universitesi, Elektrik-Elektronik Mühendisliği Bolumu -- Engineer : MOME TUM Proje Grubu -- Project ame : Tek Vurusluk Islemci -- Module ame : Ana Modul -- Additional

Detaylı

Şekil1. Geri besleme eleman türleri

Şekil1. Geri besleme eleman türleri HIZ / KONUM GERİBESLEME ELEMANLARI Geribesleme elemanları bir servo sistemin, hızını, motor milinin bulunduğu konumu ve yükün bulunduğu konumu ölçmek ve belirlemek için kullanılır. Uygulamalarda kullanılan

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Seri Haberleşme (RS232) Uygulaması

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Seri Haberleşme (RS232) Uygulaması FPGA ile Seri Haberleşme (RS232) Uygulaması 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda, geliştirme kartımız üzerinde bulunan giriş / çıkış pinlerini FT232RL

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI DENEY V : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI AMAÇLAR: ALTERA tarafından geliştirilen son teknoloji

Detaylı

8086 nın Bacak Bağlantısı ve İşlevleri. 8086, 16-bit veri yoluna (data bus) 8088 ise 8- bit veri yoluna sahip16-bit mikroişlemcilerdir.

8086 nın Bacak Bağlantısı ve İşlevleri. 8086, 16-bit veri yoluna (data bus) 8088 ise 8- bit veri yoluna sahip16-bit mikroişlemcilerdir. Bölüm 9: 8086 nın Bacak Bağlantısı ve İşlevleri 8086 & 8088 her iki işlemci 40-pin dual in-line (DIP) paketinde üretilmişlerdir. 8086, 16-bit veri yoluna (data bus) 8088 ise 8- bit veri yoluna sahip16-bit

Detaylı

mikroc Dili ile Mikrodenetleyici Programlama Ders Notları / Dr. Serkan DİŞLİTAŞ

mikroc Dili ile Mikrodenetleyici Programlama Ders Notları / Dr. Serkan DİŞLİTAŞ 12. Motor Kontrolü Motorlar, elektrik enerjisini hareket enerjisine çeviren elektromekanik sistemlerdir. Motorlar temel olarak 2 kısımdan oluşur: Stator: Hareketsiz dış gövde kısmı Rotor: Stator içerisinde

Detaylı

EK A VHDL DONANIM TANIMLAMA DİLİ

EK A VHDL DONANIM TANIMLAMA DİLİ EK A VHDL DONANIM TANIMLAMA DİLİ Elektronik sistemlerin karmaşıklığının artması tasarım yöntemlerinin de gelişmesini gerektirmiştir. Bu sebeple, geleneksel "kağıt ve kalem kullanarak tasarımı yap" ve "devreyi

Detaylı

ABSOLUTE ROTARY ENKODER Tek Turlu Absolute Enkoder, Manyetik Ölçüm GENEL ÖZELLİKLER

ABSOLUTE ROTARY ENKODER Tek Turlu Absolute Enkoder, Manyetik Ölçüm GENEL ÖZELLİKLER ABSOLUTE ROTARY ENKODER Tek Turlu Absolute Enkoder, Manyetik Ölçüm SAS Analog Çıkışlı SAS-S (ŞAFTLI) SAS- B (YARI HOLLOW ŞAFTLI) SAS-K (KOLLU) GENEL ÖZELLİKLER SAS serisi enkoderler absolute olarak çalışırlar.

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile PWM Sinyal Ü retim Üygulaması FPGA İLE UYGULAMA ÖRNEKLERİ 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda deneme kartımız üzerinde bulunan 1 adet LED

Detaylı

PROJE RAPORU. Proje adı: Pedalmatik 1 Giriş 2 Yöntem 3 Bulgular 6 Sonuç ve tartışma 7 Öneriler 7 Kaynakça 7

PROJE RAPORU. Proje adı: Pedalmatik 1 Giriş 2 Yöntem 3 Bulgular 6 Sonuç ve tartışma 7 Öneriler 7 Kaynakça 7 PROJE RAPORU Proje Adı: Pedalmatik Projemizle manuel vitesli araçlarda gaz, fren ve debriyaj pedallarını kullanması mümkün olmayan engelli bireylerin bu pedalları yönetme kolu (joystick) ile sol el işaret

Detaylı

Robotik AKTUATÖRLER Motorlar: Çalışma prensibi

Robotik AKTUATÖRLER Motorlar: Çalışma prensibi Robotik AKTUATÖRLER Motorlar: Çalışma prensibi 1 Motorlar: Çalışma prensibi Motorlar: Çalışma prensibi 2 Motorlar: Çalışma prensibi AC sinyal kutupları ters çevirir + - AC Motor AC motorun hızı üç değişkene

Detaylı

İÇİNDEKİLER ASD-B2 Serisi Sürücü Konnektör ve Terminal Bağlantıları

İÇİNDEKİLER ASD-B2 Serisi Sürücü Konnektör ve Terminal Bağlantıları İÇİNDEKİLER 1- ASD-A2 Serisi Sürücüler ve Motorlar 1.1-Temel Bağlantılar 1.1.1- ASD-A2 Serisi Motor Sürücü Bağlantısı 1.1.2- ASD-A2 Serisi Encoder Sürücü Bağlantısı 1.2-Ayrıntılı Bağlantılar 1.2-1. Çevre

Detaylı

PIC PROGRAMLAMA STEP MOTOR SÜRÜCÜ VE KONTROL AMAÇ NEDİR? Unipolar Step Motorlar. Uç TESPİTİ NASIL YAPILIR?

PIC PROGRAMLAMA STEP MOTOR SÜRÜCÜ VE KONTROL AMAÇ NEDİR? Unipolar Step Motorlar. Uç TESPİTİ NASIL YAPILIR? PIC PROGRAMLAMA hbozkurt@mekatroniklab.com www.mekatroniklab.com.tr STEP MOTOR SÜRÜCÜ VE KONTROL AMAÇ Bu ayki sayımızda, özellikle CNC ve robotik uygulamalarda oldukça yaygın olarak kullanılan step motorlar

Detaylı

NES DC.DRV.200 Tanıtım Dokümanı

NES DC.DRV.200 Tanıtım Dokümanı NES DC.DRV.00 Tanıtım Dokümanı 10.08.016 Giri Tasarım ve yazılım faaliyetleri tamamen yerli olarak firmamız tarafından gerçekle tirilen Endüstriyel DC motorlar için geli tirilmi mikroi lemci kontrollü

Detaylı

SERVOMOTOR HIZ VE POZİSYON KONTROLÜ

SERVOMOTOR HIZ VE POZİSYON KONTROLÜ SERVOMOTOR HIZ VE POZİSYON KONTROLÜ Deneye Hazırlık: Deneye gelmeden önce DC servo motor çalışması ve kontrolü ile ilgili bilgi toplayınız. 1.1.Giriş 1. KAPALI ÇEVRİM HIZ KONTROLÜ DC motorlar çok fazla

Detaylı

ELEKTRİKSEL EYLEYİCİLER

ELEKTRİKSEL EYLEYİCİLER ELEKTRİKSEL EYLEYİCİLER Eyleyiciler (Aktuatörler) Bir cismi hareket ettiren veya kontrol eden mekanik cihazlara denir. Elektrik motorları ve elektrikli sürücüler Hidrolik sürücüler Pinomatik sürücüler

Detaylı

SÜLEYMAN DEMİREL ÜNİVERSİTESİ TEKNİK EĞİTİM FAKÜLTESİ MEKATRONİK EĞİTİMİ BÖLÜMÜ BİLGİSAYAR DESTEKLİ İMALAT SERVO VE STEP MOTORLAR

SÜLEYMAN DEMİREL ÜNİVERSİTESİ TEKNİK EĞİTİM FAKÜLTESİ MEKATRONİK EĞİTİMİ BÖLÜMÜ BİLGİSAYAR DESTEKLİ İMALAT SERVO VE STEP MOTORLAR BİLGİSAYAR DESTEKLİ İMALAT SERVO VE STEP MOTORLAR Step (Adım) Motorlar Elektrik enerjisini açısal dönme hareketine çeviren motorlardır. Elektrik motorlarının uygulama alanlarında sürekli hareketin (fırçalı

Detaylı

ABSOLUTE ROTARY ENKODER Çok Turlu Absolute Enkoder, Manyetik Ölçüm GENEL ÖZELLİKLER

ABSOLUTE ROTARY ENKODER Çok Turlu Absolute Enkoder, Manyetik Ölçüm GENEL ÖZELLİKLER ABSOLUTE ROTARY ENKODER Çok Turlu Absolute Enkoder, Manyetik Ölçüm MAS Analog Çıkışlı MAS-S 50 MAS-S 58 MAS-B 50 MAS-B 58 Manyetik prensiple absolute (mutlak) ölçüm 50 mm veya 58 mm gövde çapı seçenekleri

Detaylı

Melih Hilmi ULUDAĞ. Yazılım Mühendisi Mekatronik Mühendisi. a aittir.

Melih Hilmi ULUDAĞ. Yazılım Mühendisi Mekatronik Mühendisi.  a aittir. Melih Hilmi ULUDAĞ Yazılım Mühendisi Mekatronik Mühendisi www.melihhilmiuludag.com a aittir. ÖZET Teknolojiyi kısaca bilimsel bilgiden yararlanarak yeni bir ürün geliştirmek, üretmek ve hizmet desteği

Detaylı

Digital Design HDL. Dr. Cahit Karakuş, February-2018

Digital Design HDL. Dr. Cahit Karakuş, February-2018 Digital Design HDL Dr. Cahit Karakuş, February-2018 NOT, AND, and OR Gates NAND and NOR Gates DeMorgan s Theorem Exclusive-OR (XOR) Gate Multiple-input Gates Basic Logic Gates and Basic Digital Design

Detaylı

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş

Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri. ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş Erzurum Teknik Üniversitesi RobETÜ Kulübü Robot Eğitimleri ARDUİNO EĞİTİMLERİ I Arş. Gör. Nurullah Gülmüş 29.11.2016 İÇERİK Arduino Nedir? Arduino IDE Yazılımı Arduino Donanım Yapısı Elektronik Bilgisi

Detaylı

Çizgi İzleyen Robot Yapımı

Çizgi İzleyen Robot Yapımı Çizgi İzleyen Robot Yapımı Elektronik Elektronik tasarım için yapılması gerek en önemli şey kullanılacak malzemelerin doğru seçilmesidir. Robotun elektronik aksamı 4 maddeden oluşur. Bunlar; 1. Sensörler

Detaylı

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Quarturs programı üzerinde Altera De0 kartı için proje hazırlama Altera fpga kartları için derleyici programı Quartus tur. Aşağıdaki linkten quartus programı indirilebilir; https://www.altera.com/download/dnl-index.jsp

Detaylı

Adım Motoru: açıya adım. Şekil 8.2 tekyönlü. Lab 8. Siyah (A) Mavi ( B ) Kırmızı (B)

Adım Motoru: açıya adım. Şekil 8.2 tekyönlü. Lab 8. Siyah (A) Mavi ( B ) Kırmızı (B) 446 GÖMÜLÜ SİSTEM TASARIMI Adım Motoru 8.1 Amaç Bu laboratuvarda LauchPad a dışarıdan bağlanacak adım motorunun dönme yönünü ve hızını kontrol eden programın yazılımı verilecektir. 8.2 Gerekli Malzeme

Detaylı

Deney 10: Analog - Dijital Dönüştürücüler (Analog to Digital Converters - ADC) Giriş

Deney 10: Analog - Dijital Dönüştürücüler (Analog to Digital Converters - ADC) Giriş Deney 10: Analog - Dijital Dönüştürücüler (Analog to Digital Converters - ADC) Analog - Dijital Dönüştürücülerin ADC0804 entegre devresi ile incelenmesi Giriş Sensör ve transdüser çıkışlarında genellikle

Detaylı

FPGA İLE UYGULAMA ÖRNEKLERİ

FPGA İLE UYGULAMA ÖRNEKLERİ FPGA ile Display Kontrol ve (0-9999) Sayıcı Uygulaması 1 FPGA ile (Sahada Programlanabilir Mantık Dizeleri) gerçekleştirilen bu örnek uygulamamızda deneme kartımız üzerinde bulunan 8 adet (4x2 display

Detaylı

Arduino nedir? Arduino donanım ve yazılımın kolayca kullanılmasına dayalı bir açık kaynak elektronik platformdur.

Arduino nedir? Arduino donanım ve yazılımın kolayca kullanılmasına dayalı bir açık kaynak elektronik platformdur. Arduino nedir? Arduino donanım ve yazılımın kolayca kullanılmasına dayalı bir açık kaynak elektronik platformdur. Açık kaynak nedir? Açık kaynak, bir bilgisayar yazılımının makina diline dönüştürülüp kullanımından

Detaylı

FRENIC MULTİ ÖZET KULLANIM KLAVUZU

FRENIC MULTİ ÖZET KULLANIM KLAVUZU FRENIC MULTİ ÖZET KULLANIM KLAVUZU GENEL BİLGİLER SÜRÜCÜ KONTROL BAĞLANTILARI PLC 24 VDC CM DİJİTAL GİRİŞ COM UCU FWD REV X1 X5 EN DİJİTAL GİRİŞLER ( PNP / NPN SEÇİLEBİLİR ) ENABLE GİRİŞİ SW1 Y1 Y2 DİJİTAL

Detaylı

DC motorların sürülmesi ve sürücü devreleri

DC motorların sürülmesi ve sürücü devreleri DC motorların sürülmesi ve sürücü devreleri Armatür (endüvi) gerilimini değiştirerek devri ayarlamak mümkündür. Endüvi akımını değiştirerek torku (döndürme momentini) ayarlamak mümkündür. Endüviye uygulanan

Detaylı

FRENIC MEGA ÖZET KULLANIM KLAVUZU

FRENIC MEGA ÖZET KULLANIM KLAVUZU FRENIC MEGA ÖZET KULLANIM KLAVUZU GENEL BİLGİLER SÜRÜCÜ KONTROL BAĞLANTILARI PLC 24 VDC CM DİJİTAL GİRİŞ COM UCU FWD REV X1 - X7 EN DİJİTAL GİRİŞLER ( PNP / NPN SEÇİLEBİLİR ) ENABLE GİRİŞİ SW1 Y1 - Y4

Detaylı

DENEY 10-A : PIC 16F877 ile DARBE GENİŞLİK MODÜLASYONU (PWM) SİNYAL KONTROL UYGULAMASI

DENEY 10-A : PIC 16F877 ile DARBE GENİŞLİK MODÜLASYONU (PWM) SİNYAL KONTROL UYGULAMASI DENEY 10-A : PIC 16F877 ile DARBE GENİŞLİK MODÜLASYONU (PWM) SİNYAL KONTROL UYGULAMASI AMAÇ: 1. Mikrodenetleyici kullanarak Darbe Genişlik Modülasyonu (PWM) sinyal üretilmesini öğrenmek 2. EasyPIC7 setinde

Detaylı

DERS NOTLARI. Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi

DERS NOTLARI. Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi DERS NOTLARI Yard. Doç. Dr. Namık AKÇAY İstanbul Üniversitesi Fen Fakültesi Ders-3 11.10.2016 555-Zaman Entegresi 555 Zaman Entegre Devresi monastable multivibratör (asimetrik kare dalga osilatör), astable

Detaylı

KONTROL SİSTEMLERİ TASARIMI LABORATUARINA GİRİŞ

KONTROL SİSTEMLERİ TASARIMI LABORATUARINA GİRİŞ Giriş KONTROL SİSTEMLERİ TASARIMI LABORATUARINA GİRİŞ 0.1 GİRİŞ Deneylerin yapılacağı laboratuar, bilgisayar yardımıyla analog ve dijital denetleyici sistemlerinin analizine, tasarımına, benzetimine ve

Detaylı

İçindekiler. Sinyal İşleme Donanımları FPGA FPGA ile Tasarım VHDL Uygulama Gerçekleştirme Kart Üzerinde Çalıştırma

İçindekiler. Sinyal İşleme Donanımları FPGA FPGA ile Tasarım VHDL Uygulama Gerçekleştirme Kart Üzerinde Çalıştırma İçindekiler Sinyal İşleme Donanımları FPGA FPGA ile Tasarım VHDL Uygulama Gerçekleştirme Kart Üzerinde Çalıştırma 1 Sinyal İşleme Donanımları FPGA DSP GPU CPU Intel Xeon Phi 2 Sinyal İşleme Donanımları

Detaylı

FRENIC MEGA ÖZET KULLANIM KLAVUZU

FRENIC MEGA ÖZET KULLANIM KLAVUZU FRENIC MEGA ÖZET KULLANIM KLAVUZU GENEL BİLGİLER SÜRÜCÜ KONTROL BAĞLANTILARI PLC 24 VDC CM DİJİTAL GİRİŞ COM UCU FWD REV DİJİTAL GİRİŞLER ( PNP / NPN SEÇİLEBİLİR ) SW1 X1 - X7 EN ENABLE GİRİŞİ Y1 - Y4

Detaylı

Online teknik sayfa SEM70-HN025AK22 SES/SEM70 MOTOR FEEDBACK SISTEMLERI ROTATIF HIPERFACE

Online teknik sayfa SEM70-HN025AK22 SES/SEM70 MOTOR FEEDBACK SISTEMLERI ROTATIF HIPERFACE Online teknik sayfa SEM70-HN025AK22 SES/SEM70 A B C D E F H I J K L M N O P Q R S T Resimler farklı olabilir Ayrıntılı teknik bilgiler Performans Sipariş bilgileri Tip Diğer cihaz modelleri ve aksesuar

Detaylı

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK Yıldız Teknik Üniversitesi Bilgisayar Mühendisliği Bölümü Lisans Üstü Semineri Bahar 2009 Giriş Programlanabilir Lojik - SPLD FPGA

Detaylı

ROBOT KOL BİTİRME PROJESİ DÖNEM İÇİ RAPORU

ROBOT KOL BİTİRME PROJESİ DÖNEM İÇİ RAPORU ROBOT KOL BİTİRME PROJESİ DÖNEM İÇİ RAPORU İSMAİL KAHRAMAN-ŞEYMA ÖZTÜRK 200713151027 200513152008 Robot Kol Mekanizması: Şekildeki robot-insan benzetmesinden yola çıkarak, bel kısmı tekerlekli ve sağa-sola-ileri-geri

Detaylı

ABSOLUTE ROTARY ENCODER

ABSOLUTE ROTARY ENCODER ABSOLUTE ROTARY ENCODER Multi-Turn Absolute Encoder, Magnetic Measurement, Shaft and Semi Hollow Shaft -58 Analog Signal Output MAGNETIC PRINCIPLE MEASUREMENT ABSOLUTE MEASUREMENT SHAFT OR SEMI HOLLOW

Detaylı

Örnek. int analogpin = 3; int val = 0; void setup() { Serial.begin(9600); } void loop() { val = analogread(analogpin); Serial.

Örnek. int analogpin = 3; int val = 0; void setup() { Serial.begin(9600); } void loop() { val = analogread(analogpin); Serial. Seri Port Ekranı Arduinoyu USB üzerinden bilgisayarımıza bağladığımızda aslında seri port bağlantısı yapmış oluyoruz. Bu seri port üzerinden hem bilgi alışverişi yapabilir hem de uç bağlantı noktasındaki,

Detaylı

Analog Sayısal Dönüşüm

Analog Sayısal Dönüşüm Analog Sayısal Dönüşüm Gerilim sinyali formundaki analog bir veriyi, iki tabanındaki sayısal bir veriye dönüştürmek için, az önce anlatılan merdiven devresiyle, bir sayıcı (counter) ve bir karşılaştırıcı

Detaylı

İLERI MIKRODENETLEYICILER. Ege Üniversitesi Ege MYO Mekatronik Programı

İLERI MIKRODENETLEYICILER. Ege Üniversitesi Ege MYO Mekatronik Programı İLERI MIKRODENETLEYICILER Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 4 Motor Denetimi Adım (Step) Motorunun Yapısı Adım Motorlar elektrik vurularını düzgün mekanik harekete dönüştüren elektromekanik

Detaylı

IR Modülü. Kart Özellikleri Çalısma Frekansı: 38KHz Mesafe: 6 Metre Çalısma Voltajı: 3.3-5V Kart Boyutları: 20 mm x 20 mm

IR Modülü. Kart Özellikleri Çalısma Frekansı: 38KHz Mesafe: 6 Metre Çalısma Voltajı: 3.3-5V Kart Boyutları: 20 mm x 20 mm ÜRÜN KATALOGU IR Modülü Kart Özellikleri Çalısma Frekansı: 38KHz Mesafe: 6 Metre Çalısma Voltajı: 3.3-5V Kart Boyutları: 20 mm x 20 mm Modül üzerinde PIC12F675 mikrodenetleyicisi bulunmaktadır. Vcc pinine

Detaylı

OTOMATİK KONTROL SİSTEMLERİ TEMEL KAVRAMLAR VE TANIMLAR

OTOMATİK KONTROL SİSTEMLERİ TEMEL KAVRAMLAR VE TANIMLAR OTOMATİK KONTROL SİSTEMLERİ TEMEL KAVRAMLAR VE TANIMLAR KONTROL SİSTEMLERİ GİRİŞ Son yıllarda kontrol sistemleri, insanlığın ve uygarlığın gelişme ve ilerlemesinde çok önemli rol oynayan bir bilim dalı

Detaylı

PULSE ÇIKIŞI İLE SERVO MOTOR KONTROLÜ. Giriş PLS2 Komutunun Açıklanması CP1H ve Smart Step 2 Kablo Bağlantıları Sonuç

PULSE ÇIKIŞI İLE SERVO MOTOR KONTROLÜ. Giriş PLS2 Komutunun Açıklanması CP1H ve Smart Step 2 Kablo Bağlantıları Sonuç PULSE ÇIKIŞI İLE SERVO MOTOR KONTROLÜ Giriş PLS2 Komutunun Açıklanması CP1H ve Smart Step 2 Kablo Bağlantıları Sonuç GİRİŞ Bu dökümanda CP1H plc sinden pulse çıkışı alınarak Smart Step 2 üzerinden nasıl

Detaylı

Y-0048. Fiber Optik Haberleşme Eğitim Seti Fiber Optic Communication Training Set

Y-0048. Fiber Optik Haberleşme Eğitim Seti Fiber Optic Communication Training Set Genel Özellikler General Specifications temel fiber optik modülasyon ve demodülasyon uygulamaların yapılabilmesi amacıyla tasarlanmış Ana Ünite ve 9 adet Uygulama Modülünden oluşmaktadır. Ana ünite üzerinde

Detaylı

Eyleyiciler. July 2, 2001

Eyleyiciler. July 2, 2001 Eyleyiciler July, 001 Contents 1 Giriş 1 1.1 Pnömatik, Hidrolik ve Elektirik eyleyicilerin karşılaştırılması... 1.1.1 Pnömatik Eyleyiciler..................... 1.1. Hidrolik Eyleyiciler......................

Detaylı

C-Serisi PLC İleri Seviye Eğitim

C-Serisi PLC İleri Seviye Eğitim C-Serisi PLC İleri Seviye Eğitim 1 PLC ye Giriş 2 PLC ye Giriş 3 PLC ye Giriş CJ1 I/O Modülleri - 8/16/32/64pts Max I/O - 160,640 Max Program Kapasitesi - 20K Steps Komut sayısı - 400 4 PLC Ladder Diyagram

Detaylı

Accurax G5 Serisi Dahili Pozisyonlama

Accurax G5 Serisi Dahili Pozisyonlama Accurax G5 Serisi Dahili Pozisyonlama İÇİNDEKİLER Giriş CX-Drive Ayarların Yapılması Drive Programming Başlatma Giriş Bu dökümanda Accurax G5 Analog/Puls servo sürücülerin input larını kullanarak dahili

Detaylı

Bölüm 13 FSK Modülatörleri.

Bölüm 13 FSK Modülatörleri. Bölüm 13 FSK Modülatörleri. 13.1 AMAÇ 1. Frekans Kaydırmalı Anahtarlama (FSK) modülasyonunun çalışma prensibinin anlaşılması.. FSK işaretlerinin ölçülmesi. 3. LM5 kullanarak bir FSK modülatörünün gerçekleştirilmesi.

Detaylı

Makina sürücüleri uygulamaları ACS150- ACS355. LV AC drives RoadShow 2008. ABB Oy - 1 -

Makina sürücüleri uygulamaları ACS150- ACS355. LV AC drives RoadShow 2008. ABB Oy - 1 - ACS150- ACS355 LV AC drives RoadShow 2008 ABB Oy - 1 - Makina Otomatik kapı Otomatik geçiş Mikser Pompa Basit fan konveyör Depo otomasyonu Santrifüj boyama Kablo makinası Yatay kesim Fırça makinası sarıcı

Detaylı

Proje Teslimi: 2013-2014 güz yarıyılı ikinci ders haftasında teslim edilecektir.

Proje Teslimi: 2013-2014 güz yarıyılı ikinci ders haftasında teslim edilecektir. ELEKTRONĐK YAZ PROJESĐ-2 (v1.1) Yıldız Teknik Üniversitesi Elektronik ve Haberleşme Mühendisliği Bölümünde okuyan 1. ve 2. sınıf öğrencilerine; mesleği sevdirerek öğretmek amacıyla, isteğe bağlı olarak

Detaylı

FPGA KULLANILARAK DİŞ PROTEZ PİŞİRME FIRINLARININ ESNEK VE HASSAS DENETİMİNİ SAĞLAYAN BİR KONTROL KARTININ TASARIMI VE GERÇEKLEŞTİRİLMESİ

FPGA KULLANILARAK DİŞ PROTEZ PİŞİRME FIRINLARININ ESNEK VE HASSAS DENETİMİNİ SAĞLAYAN BİR KONTROL KARTININ TASARIMI VE GERÇEKLEŞTİRİLMESİ Gazi Üniv. Müh. Mim. Fak. Der. Journal of the Faculty of Engineering and Architecture of Gazi University Cilt 7, No, 75-8, 0 Vol 7, No, 75-8, 0 FPGA KULLANILARAK DİŞ PROTEZ PİŞİRME FIRINLARININ ESNEK VE

Detaylı

Fatih Üniversitesi Elektrik ve Elektronik Mühendisliği Bölümü EEM 316 Haberleşme I LAB SINAVI DARBE GENLİK MODÜLASYONU (PWM)

Fatih Üniversitesi Elektrik ve Elektronik Mühendisliği Bölümü EEM 316 Haberleşme I LAB SINAVI DARBE GENLİK MODÜLASYONU (PWM) Fatih Üniversitesi Elektrik ve Elektronik Mühendisliği Bölümü EEM 316 Haberleşme I LAB SINAVI DARBE GENLİK MODÜLASYONU (PWM) 9.1 Amaçlar 1. µa741 ile PWM modülatör kurulması. 2. LM555 in çalışma prensiplerinin

Detaylı

Bölüm 9 A/D Çeviriciler

Bölüm 9 A/D Çeviriciler Bölüm 9 A/D Çeviriciler 9.1 AMAÇ 1. Bir Analog-Dijital Çeviricinin çalışma yönteminin anlaşılması. 2. ADC0804 ve ADC0809 entegrelerinin karakteristiklerinin anlaşılması. 3. ADC0804 ve ADC0809 entegrelerinin

Detaylı

ÖZEL EGE LİSESİ FİLTREN DÖNDÜKÇE ELEKTRİK ELDE ET

ÖZEL EGE LİSESİ FİLTREN DÖNDÜKÇE ELEKTRİK ELDE ET ÖZEL EGE LİSESİ FİLTREN DÖNDÜKÇE ELEKTRİK ELDE ET HAZIRLAYAN ÖĞRENCİLER: Öykü Doğa TANSEL DANIŞMAN ÖĞRETMEN: Gökhan TUFAN İZMİR 2016 İÇİNDEKİLER 1. Projenin amacı.. 2 2. Projenin hedefi.. 2 3. Elektrik

Detaylı

ZM-2H2080 İki Faz Step. Motor Sürücüsü. Özet

ZM-2H2080 İki Faz Step. Motor Sürücüsü. Özet ZM-2H2080 İki Faz Step Motor Sürücüsü Özet ZM-2H2080 iki faz, 4,6 ve 8 telli step motorlar için üretilmiştir. Yüksek frekanslı giriş sinyallerini kabul edebilecek şekilde donatılmıştır. Akım kararlılığı,

Detaylı

BALIKESİR ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ S7 1200 EĞİTİM SETİ DENEY KİTAPÇIĞI

BALIKESİR ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ S7 1200 EĞİTİM SETİ DENEY KİTAPÇIĞI BALIKESİR ÜNİVERSİTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ S7 1200 EĞİTİM SETİ DENEY KİTAPÇIĞI V1.0 1 İÇİNDEKİLER 1. EĞİTİM SETİNİN TANITILMASI... 3 1.1. ANA ÜNİTE (ÇANTA TİPİ)... 3 1.2. GENEL UYGULAMA MODÜLÜ

Detaylı

DELTA PLC EĞİTİM SETİ KİTAPÇIĞI

DELTA PLC EĞİTİM SETİ KİTAPÇIĞI DELTA PLC EĞİTİM SETİ KİTAPÇIĞI Beti Delta PLC Eğitim Seti üzerinde kullanılan donanımlar Delta marka DVP20SX211T Model PLC DVP16SP11T Genişleme yuvası DOP-B07S411 7 Operatör Paneli PLC CPU sunu üzerindeki

Detaylı

Online teknik sayfa AFM60E-THAK AFS/AFM60 SSI MUTLAK ENKODER

Online teknik sayfa AFM60E-THAK AFS/AFM60 SSI MUTLAK ENKODER Online teknik sayfa FM60E-THK002048 FS/FM60 SSI MUTLK ENKODER FM60E-THK002048 FS/FM60 SSI MUTLK ENKODER C D E F H I J K L M N O P Q R S T Resimler farklı olabilir yrıntılı teknik bilgiler Performans Sipariş

Detaylı

FUJI MICRO HIZLI DEVREYE ALMA KILAVUZU

FUJI MICRO HIZLI DEVREYE ALMA KILAVUZU FUJI MICRO HIZLI DEVREYE ALMA KILAVUZU KONTEK OTOMASYON A.Ş. BEYİT SOK. NO:27 YUKARI DUDULLU ÜMRANİYE / İSTANBUL 0216 466 47 00 (T) 0216 466 21 20 (F) www.kontekotomasyon.com.tr Sayfa 1 / 7 TUŞ FONKSİYONLARI

Detaylı

SSM - 4 ORANSAL SERVOMOTOR SSM 4 TANITIM BİLGİLERİ :

SSM - 4 ORANSAL SERVOMOTOR SSM 4 TANITIM BİLGİLERİ : SSM - 4 ORANSAL SERVOMOTOR SSM 4 TANITIM BİLGİLERİ : SSM Serisi servo motorlar Era Ltd.Şti. ticari ürünüdür. Saha da çalışması için basit ve sorunsuz bir yapıya sahiptir. 4 Pinli bağlantı soketi ile, kolay

Detaylı

SABİT MIKNATISLI MOTORLAR ve SÜRÜCÜLERİ

SABİT MIKNATISLI MOTORLAR ve SÜRÜCÜLERİ SABİT MIKNATISLI MOTORLAR ve SÜRÜCÜLERİ 1-Step Motorlar - Sabit mıknatıslı Step Motorlar 2- Sorvo motorlar - Sabit mıknatıslı Servo motorlar 1- STEP (ADIM) MOTOR NEDİR Açısal konumu adımlar halinde değiştiren,

Detaylı

SANAEM RFQ (SPP) HIZLANDIRICISI GÜÇ KAYNAKLARI VE ÖLÇME KUTUSU KONTROL SİSTEMİ. Aydın ÖZBEY İstanbul Üniversitesi

SANAEM RFQ (SPP) HIZLANDIRICISI GÜÇ KAYNAKLARI VE ÖLÇME KUTUSU KONTROL SİSTEMİ. Aydın ÖZBEY İstanbul Üniversitesi SANAEM RFQ (SPP) HIZLANDIRICISI GÜÇ KAYNAKLARI VE ÖLÇME KUTUSU KONTROL SİSTEMİ Aydın ÖZBEY İstanbul Üniversitesi Proton hızlandırıcısı kontrol sistemi Neler üzerinde duracağız? Kontrol edilecek parametreler

Detaylı

ZM-2H504 İki Faz Step. Motor Sürücüsü. Özet

ZM-2H504 İki Faz Step. Motor Sürücüsü. Özet ZM-2H504 İki Faz Step Motor Sürücüsü Özet ZM-2H504 iki faz, 4,6 ve 8 telli step motorlar için üretilmiştir. Yüksek frekanslı giriş sinyallerini kabul edebilecek şekilde donatılmıştır. Akım kararlılığı,

Detaylı

ALİ ÇETİNKAYA Tuğba SARAY DERS DANIŞMANI PROF. DR. MEHMET BAYRAK

ALİ ÇETİNKAYA Tuğba SARAY DERS DANIŞMANI PROF. DR. MEHMET BAYRAK T.C. KTO KARATAY ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ELEKTRİK VE BİLGİSAYAR MÜHENDİSLİĞİ ANA BİLİM DALI ULTRASONİK SENSÖR İLE RADAR OLUŞTURMA VE BLUETOOTH SENSÖR ÜZERİNDEN GELEN VERİLERE GÖRE ROBOT HAREKETLERİNİN

Detaylı

R-2R LADDER SWITCHES 8-BIT DAC SUCCESSIVE APPROXIMATION REGISTER 3-STATE BUFFERS

R-2R LADDER SWITCHES 8-BIT DAC SUCCESSIVE APPROXIMATION REGISTER 3-STATE BUFFERS MİKROİŞLEMCİ UYUMLU A/D VE D/A ÇEVİRİCİLER A/D ve D/A çeviricilerin pratikte sıkça kullanılan türlerinden biri de mikroişlemci uyumlu olanlarıdır. Şekil.'de ZN8 D/A çeviricinin çalışma prensip şeması verilmiştir.

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ

T.C. KOCAELİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ T.C. KOCAELİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ Mikroişlemci Uygulamaları Ders Projesi Beaglebone Black ile Webcam Streaming ve Servo Kontrolü Melih YILDIRIM 100208006

Detaylı

Mentor II DC sürücüler için Pratik Devreye Alma Klavuzu

Mentor II DC sürücüler için Pratik Devreye Alma Klavuzu Mentor II DC sürücüler için Pratik Devreye Alma Klavuzu 1. Adım : Motor & Sürücü Bağlantılarını Yapınız. 2. Adım : Motor Plaka Değerlerine Bakınız Mentor II nin parametrelerini ayarlamak için, önce motor

Detaylı

İ İŞİ BÖLÜM ROBOTİK KODLAMA ÖĞRENME ALANLARI BASİT ELEKTRONİK DEVRELERE GİRİŞ AKILLI CİHAZ TASARIMINA GİRİŞ ROBOTİĞE GİRİŞ

İ İŞİ BÖLÜM ROBOTİK KODLAMA ÖĞRENME ALANLARI BASİT ELEKTRONİK DEVRELERE GİRİŞ AKILLI CİHAZ TASARIMINA GİRİŞ ROBOTİĞE GİRİŞ İ İŞİ İ BÖLÜM ROBOTİK KODLAMA ÖĞRENME ALANLARI BASİT ELEKTRONİK DEVRELERE GİRİŞ AKILLI CİHAZ TASARIMINA GİRİŞ ROBOTİĞE GİRİŞ HAZIRLAYAN MUSTAFA ÖZER BİLİŞİM TEKNOLOJİLERİ ÖĞRETMENİ makersardahan Küçük

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ

DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DOĞU AKDENİZ ÜNİVERSİTESİ BAHAR 2012-2013 BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM-324 BİLGİSAYAR MİMARİSİ DENEY #5 16 Bitlik R Tipi İçin ALTERA MAX-PLUS-II VHDL de Tek Saat Veri Yolu Birimi 1.Giriş Bu deneyde

Detaylı

ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 4 DENEYİN ADI: JK, RS, T VE D TİPİ FLİP-FLOPLARIN İNCELENMESİ

ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 4 DENEYİN ADI: JK, RS, T VE D TİPİ FLİP-FLOPLARIN İNCELENMESİ ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 4 DENEYİN ADI: JK, RS, T VE D TİPİ FLİP-FLOPLARIN İNCELENMESİ Açıklamalar: Bu deneyde JK, RS, T ve D tipi flip-flop (FF) lar incelenecektir. Deney içerisinde

Detaylı

ASDA-B SERĐSĐ SERVOLARDA DĐJĐTAL GĐRĐŞLER. -Örnek Giriş Şeması- SON = 01 (SERVO ON)

ASDA-B SERĐSĐ SERVOLARDA DĐJĐTAL GĐRĐŞLER. -Örnek Giriş Şeması- SON = 01 (SERVO ON) ASDA-B SERĐSĐ SERVOLARDA DĐJĐTAL GĐRĐŞLER -Örnek Giriş Şeması- SON = 01 (SERVO ON) Servo sürücünün dijital girişlerinden (P2-10 P2-15) birine (101) değerini girerek bu dijital girişi aktif ettiğimizde

Detaylı

TECO N3 SERİSİ HIZ KONTROL CİHAZLARI

TECO N3 SERİSİ HIZ KONTROL CİHAZLARI 1/55 TECO N3 SERİSİ HIZ 230V 1FAZ 230V 3FAZ 460V 3FAZ 0.4 2.2 KW 0.4 30 KW 0.75 55 KW 2/55 PARÇA NUMARASI TANIMLAMALARI 3/55 TEMEL ÖZELLİKLER 1 FAZ 200-240V MODEL N3-2xx-SC/SCF P5 01 03 Güç (HP) 0.5 1

Detaylı

Servo Motor ile 2-Eksenli Robot Kolunun Kontrolü

Servo Motor ile 2-Eksenli Robot Kolunun Kontrolü Number: 7-2015 SCIENCE AND TECHNOLOGY INFORMATION SHARING Article Web Page: www.ibrahimcayiroglu.com Servo Motor ile 2-Eksenli Robot Kolunun Kontrolü (Two Axis Robot Arm Control using Servo Motor) Emrah

Detaylı

ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY 6 ANALOG/DİGİTAL DÖNÜŞTÜRÜCÜ. Grup Numara Ad Soyad RAPORU HAZIRLAYAN:

ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY 6 ANALOG/DİGİTAL DÖNÜŞTÜRÜCÜ. Grup Numara Ad Soyad RAPORU HAZIRLAYAN: ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY 6 ANALOG/DİGİTAL DÖNÜŞTÜRÜCÜ DENEYİ YAPANLAR Grup Numara Ad Soyad RAPORU HAZIRLAYAN: Deneyin Yapılış Tarihi Raporun Geleceği Tarih Raporun

Detaylı

USB 10 IN-OUT. Usb portundan, 10 adet giriş ve çıkış yapabilen, Opto izolasyonlu digital otomasyon devresi.

USB 10 IN-OUT. Usb portundan, 10 adet giriş ve çıkış yapabilen, Opto izolasyonlu digital otomasyon devresi. USB 10 IN-OUT delab Deniz Elektronik Laboratuvarı Tel&Fax:0216-348 65 21 Usb portundan, 10 adet giriş ve çıkış yapabilen, Opto izolasyonlu digital otomasyon devresi. TTL devreler USB portundan aldığı gerilimle

Detaylı

ROBOTECH-10 ARDUINO UYGULAMA KARTI. SENSÖR ve ROBOT TEKNOLOJİLERİ GELİŞTİRME KARTI

ROBOTECH-10 ARDUINO UYGULAMA KARTI. SENSÖR ve ROBOT TEKNOLOJİLERİ GELİŞTİRME KARTI ROBOTECH-10 ARDUINO UYGULAMA KARTI SENSÖR ve ROBOT TEKNOLOJİLERİ GELİŞTİRME KARTI 1. Genel Tanım Robotech-10 kartı, Teknik okullarda, üniversitelerde ve robot kulüpleri olan liseler ile bu işi hobi olarak

Detaylı

Programlanabilir Devreler

Programlanabilir Devreler Programlanabilir Devreler Testbench & Simülasyon İçerik Tasarlamış olduğumuz sayısal sistemlerin fonksiyonel olarak istenildiği gibi gerçekleştirdiğini doğrulamak gerekir. Verilog ve VHDL gibi donanım

Detaylı

İçerik. Ürün no.: SLS46CI-70.K28-M12 Güvenlik tek ışın fotoelektrik sensör verici

İçerik. Ürün no.: SLS46CI-70.K28-M12 Güvenlik tek ışın fotoelektrik sensör verici Ürün no.: 50121911 SLS46CI-70.K28-M12 Güvenlik tek ışın fotoelektrik sensör verici Şekil farklılık gösterebilir İçerik Teknik veriler Uygun alıcı Boyutlandırılmış çizimler Elektrik bağlantısı Kumanda ve

Detaylı

Deney 6: Ring (Halka) ve Johnson Sayıcılar

Deney 6: Ring (Halka) ve Johnson Sayıcılar Deney 6: Ring (Halka) ve Johnson Sayıcılar Kullanılan Elemanlar xlm Entegresi, x0 kohm direnç, x00 kohm direnç, x0 µf elektrolitik kondansatör, x00 nf kondansatör, x 7HC7 (D flip-flop), x 0 ohm, x Led

Detaylı

METSİM SİSTEM MÜHENDİSLİK

METSİM SİSTEM MÜHENDİSLİK Yeni seri lineer ve açı sensörleri ile Turck, sensör teknolojilerinde teknolojik atılımların halen mümkün olduğunu göstermektedir. Yeni sensör üniteleri alışıldık ölçüm sistemlerinin tüm olumlu yönlerini

Detaylı

ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOKULU ELEKTRİK VE ENERJİ BÖLÜMÜ ALTERNATİF ENERJİ KAYNAKLARI TEKNOLOJİSİ ELEKTRİK MAKİNALARI 12.

ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOKULU ELEKTRİK VE ENERJİ BÖLÜMÜ ALTERNATİF ENERJİ KAYNAKLARI TEKNOLOJİSİ ELEKTRİK MAKİNALARI 12. ANKARA ÜNİVERSİTESİ GAMA MESLEK YÜKSEKOKULU ELEKTRİK VE ENERJİ BÖLÜMÜ ALTERNATİF ENERJİ KAYNAKLARI TEKNOLOJİSİ ELEKTRİK MAKİNALARI 12. HAFTA 1 İçindekiler Fırçasız Doğru Akım Motorları 2 TANIMI VE ÖZELLİKLERİ

Detaylı

0 Giriş kontağı ile Servo On 1 Giriş kontağı aktif değil Powerda Servo On

0 Giriş kontağı ile Servo On 1 Giriş kontağı aktif değil Powerda Servo On PARAMETRE Cn001 Cn002.0 H000* Cn002.1 H00*0 Cn002.2 H0*00 Cn002.3 H*000 ĐSĐM & FONKSĐYON 0 Tork kontrol 1 Hız Kontrol 2 Pozisyon Kontrol (External) 3 Pozisyon / Hız Kontrol Switch 4 Hız / Tork Kontrol

Detaylı

Bölüm 16 CVSD Sistemi

Bölüm 16 CVSD Sistemi Bölüm 16 CVSD Sistemi 16.1 AMAÇ 1. DM sisteminin çalışma prensibinin incelenmesi. 2. CVSD sisteminin çalışma prensibinin incelenmesi. 3. CVSD modülatör ve demodülatör yapılarının gerçeklenmesi. 16.2 TEMEL

Detaylı

ANAHTARLI RELÜKTANS MOTORUN SAYISAL HIZ KONTROLÜ

ANAHTARLI RELÜKTANS MOTORUN SAYISAL HIZ KONTROLÜ ANAHTARLI RELÜKTANS MOTORUN SAYISAL HIZ KONTROLÜ Zeki OMAÇ Hasan KÜRÜM Fırat Üniversitesi Bingöl Meslek Yüksekokulu Bingöl Fırat Üniversitesi Mühendislik Fakültesi Elektrik - Elektronik Mühendisliği Bölümü

Detaylı

İçindekiler. Teknik Özellikler 6. Parametre Tablosu 8. Kullanıcı Arabirimi 10. Montaj 16. Ürün Seçimi 20

İçindekiler. Teknik Özellikler 6. Parametre Tablosu 8. Kullanıcı Arabirimi 10. Montaj 16. Ürün Seçimi 20 İçindekiler Teknik Özellikler 6 Parametre Tablosu 8 Kullanıcı Arabirimi 10 Montaj 16 Ürün Seçimi 20 Teknik Özellikler 6 Teknik Özellikler AC Besleme DC Besleme Giriş Voltajı 100 220 VAC ± %10 24 VDC ±

Detaylı

CEP TELEFONU ĐLE SĐSTEMĐN UZAKTAN KONTROLÜ REMOTE CONTROL SYSTEM WĐTH MOBĐLE PHONE

CEP TELEFONU ĐLE SĐSTEMĐN UZAKTAN KONTROLÜ REMOTE CONTROL SYSTEM WĐTH MOBĐLE PHONE CEP TELEFONU ĐLE SĐSTEMĐN UZAKTAN KONTROLÜ REMOTE CONTROL SYSTEM WĐTH MOBĐLE PHONE Proje Yürütücüleri Özgür ÖZTÜRKOĞLU, Sinop Ünv. Meslek Yüksekokulu Mekatronik Bölümü, SĐNOP Güven ORHAN, Sinop Ünv. Meslek

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 5 ADC, Analog Sayısal Dönüştürücüler Analog İşaretler Elektronik devrelerin giriş işaretlerinin büyük çoğunluğu analogtur. Günlük yaşantımızda

Detaylı

İçerik. Ürün no.: SLE46C-40.K2/4P-M12 Güvenlik tek ışın fotoelektrik sensör alıcı

İçerik. Ürün no.: SLE46C-40.K2/4P-M12 Güvenlik tek ışın fotoelektrik sensör alıcı Ürün no.: 50121917 SLE46C-40.K2/4P-M12 Güvenlik tek ışın fotoelektrik sensör alıcı Şekil farklılık gösterebilir İçerik Teknik veriler Boyutlandırılmış çizimler Elektrik bağlantısı Kumanda ve gösterge Aksesuarlar

Detaylı

CW ve CCW yönü için Hız preset devri (rpm)

CW ve CCW yönü için Hız preset devri (rpm) PARAMETRE Cn001 Cn002.0 H000* Cn002.1 H00*0 ĐSĐM & FONKSĐYON 0 Tork kontrol 1 Hız Kontrol 2 Pozisyon Kontrol (External) 3 Pozisyon / Hız Kontrol Switch 4 Hız / Tork Kontrol Switch 5 Pozisyon / Tork Kontrol

Detaylı

Robot Bilimi. Robot Aktüatörler (Çıkış Elemanları, Uygulayıcılar) Öğr. Gör. M. Ozan AKI. r1.0

Robot Bilimi. Robot Aktüatörler (Çıkış Elemanları, Uygulayıcılar) Öğr. Gör. M. Ozan AKI. r1.0 Robot Bilimi Robot Aktüatörler (Çıkış Elemanları, Uygulayıcılar) Öğr. Gör. M. Ozan AKI r1.0 Robot Aktüatörler Aktüatör, İngilizce act (eylem, işini yapmak) kelimesinden gelmektedir Robotun fiziksel olarak

Detaylı

Bölüm 1 Ürüne Genel Bakış

Bölüm 1 Ürüne Genel Bakış Bölüm 1 Ürüne Genel Bakış 1.1 Ürün Etiketi Şekil 1-1 Etiket Model Instruction Model numarası bazı rakam ve harfler içerir. Bu işaretler cihazın gücünü, güç seviyesini ve bazı diğer özel bilgileri içerir.

Detaylı

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 ARDUINO DİJİTAL GİRİŞ-ÇIKIŞ KONTROLÜ DENEY SORUMLUSU Arş. Gör. Burak ULU ŞUBAT 2015 KAYSERİ

Detaylı

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI DENEY II: QUARTUS II TASARIM ORTAMINA VE VERILOG PROGRAMLAMA İLE TASARIMA GİRİŞ Amaçlar: Bu deneyde ALTERA

Detaylı