Sakarya Üniversitesi / İDÖ / HMYO/ Elektrik ve Endüstriyel Elektronik Prog. Malzemeler Select a Component penceresinden,



Benzer belgeler
Sakarya Üniversitesi / İDÖ / HMYO/ Elektrik ve Endüstriyel Elektronik Prog.

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY RAPORU. Deney No: 1 MULTİSİM E GİRİŞ

Sakarya Üniversitesi / İDÖ / HMYO/ Elektrik ve Endüstriyel Elektronik Prog.

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY RAPORU. Deney No: 1 MULTİSİM E GİRİŞ

Tek kararlı(monostable) multivibratör devresi

SAYI SİSTEMLERİ ve BOOLE CEBİRİ 1+1=1 ÖĞR.GÖR. GÜNAY TEMÜR - TEKNOLOJİ F. / BİLGİSAYAR MÜH.

(VEYA-DEĞİL kapısı) (Exlusive OR kapısı) (Exlusive NOR kapısı)

DOĞRULUK TABLOLARI (TRUTH TABLE)

İnönü Üniversitesi Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü

SAYISAL MANTIK LAB. PROJELERİ

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits)

25. Aşağıdaki çıkarma işlemlerini doğrudan çıkarma yöntemi ile yapınız.

5. LOJİK KAPILAR (LOGIC GATES)

NJ-MX2 ETHERCAT HABERLEŞMESİ

TÜRKÇE KULLANIM KILAVUZU

ANALOG DEVRE TASARIMI VE SİMÜLASYONU

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

Bilgisayar yardımıyla, elimize geçen bir elektronik devre şemasının çalışıp çalışmadığını, devreyi monte etmeden

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ

Multisim ile İlgili Temel Bilgiler

KAÇAK AKIM RÖLESİ. Sayfa 1

DENEY 1: MULTISIM DEVRE TASARIM PROGRAMI İLE OHM ve KIRCHOFF YASALARININ İNCELENMESİ

Bölüm 4 Ardışıl Lojik Devre Deneyleri

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL TASARIM LABORATUVARI DENEY RAPORU. Deney No: 1 MULTİSİM E GİRİŞ

DENEY in lojik iç şeması: Sekil 2

T.C. istanbul ÜNiVERSiTESi ÖĞRENCi BiLGi SiSTEMi. ÖĞRETiM ELEMANI KULLANIM KILAVUZU

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

Excel de çalışma alanı satır ve sütunlardan oluşur. Satırları rakamlar, sütunları ise harfler temsil eder. Excel çalışma sayfası üzerinde toplam

BİLGİSAYAR DESTEKLİ TASARIM II

Makine Mühendisliği İçin Elektrik-Elektronik Bilgisi. Sayısal Elektronik

BÖLÜM 9 (COUNTERS) SAYICILAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır

6. DİJİTAL / ANALOG VE ANALOG /DİJİTAL ÇEVİRİCİLER 1

18. FLİP FLOP LAR (FLIP FLOPS)

SMART Board EĞİTİMLERİ(sürüm:10) 4. Aşama

LOJİK DEVRELER-I II. HAFTA DENEY FÖYÜ

Mantık Devreleri Laboratuarı

OYAK YATIRIM FX Meta İşlem Platformu Kullanma Kılavuzu

a. Giriş sekmesi: 1. Paragraf bölümünde Madde İşaretleri veya Numaralandırma seçeneklerinden istediğinize tıklayın.

BÖLÜM 23 TD F8 F4 SHIFT ESC ENTER M1.7 M1.6 M1.5 M1.4 M1.3 M1.2 M1.1 M1.0 F8 F7 F6 F5 F4 F3 F2 F1. Shift + F1

Boole Cebri. Muhammet Baykara

TEMEL BİLGİSAYAR. Ders Notları. Yrd. Doç. Dr. Seyit Okan KARA

LOJİK DEVRELER-I III. HAFTA DENEY FÖYÜ

DENEY 5 RS FLİP-FLOP DENEYLERİ

Şekil 1. 74LS47 entegresi bağlantı şeması

FRENIC MEGA ÖZET KULLANIM KLAVUZU

A1FX MT4 İşlem Platformu Kullanma Kılavuzu

Hizalama Word dokümanlarınızda oluşturduğunuz veya oluşturacağınız metinlerin hizalamasını yapabilirsiniz. Bu işlem için:

UYGULAMA 1 24V START CPU V LO. Verilen PLC bağlantısına göre; START butonuna basıldığında Q0.0 çıkışını aktif yapan PLC programını yazınız.

ORCAD/PSPICE Kullanımı. Ar. Gör. Mustafa İSTANBULLU, Doç. Dr. Mutlu AVCI

Fatih University- Faculty of Engineering- Electric and Electronic Dept.

LOJİK DEVRELER-I IV. HAFTA DENEY FÖYÜ

Bu deney çalışmasında kombinasyonel lojik devrelerden decoder incelenecektir.

1) Dokümanı baştan sona okumanızı tavsiye ederiz. Bazı ipuçları mevcuttur.

Bölüm 8 Ardışıl Lojik Devre Uygulamaları

Fatih University- Faculty of Engineering- Electric and Electronic Dept.

FUJI MICRO HIZLI DEVREYE ALMA KILAVUZU

DENEY 2- Sayıcılar. 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi.

PSPICE AC SWEEP VE PARAMETRĐK ANALĐZ YÖNTEMLERĐ

Kopyalama ve Taşıma konularıyla ilgili daha değişik uygulamalar yaparak bu konunun pekiştirilmesini sağlamanız yararınıza olacaktır.

PRO NA011 DĐJĐTAL OTOMATĐK TEKRAR KAPAMALI 3 FAZ AŞIRI AKIM

BÖLÜM 5 5. TABLO OLUŞTURMAK

HESAP PLANI DEĞİŞİKLİĞİ

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL ELEKTRONİK LABORATUVAR DENEY RAPORU

KOCAELİ TEKNİK LİSESİ ELEKTRİK ELEKTRONİK BÖLÜMÜ OTOMASYON ATÖLYESİ EKTS (Elektrik Kumanda Teknikleri Simülatörü ) DERS NOTU. Kaynak :

AirTies Kablosuz Erişim Noktası (Access Point) olarak kullanacağınız cihazı bilgisayarınıza bağlayarak ayarlarını yapabilirsiniz.

idealab Kullanım Bilgileri

DENEY 21 IC Zamanlayıcı Devre

LOJİK DEVRELER-I IV. HAFTA DENEY FÖYÜ

4-1. Ön Kontrol Paneli

HESABINIZA GİRİŞ YAPMAK İÇİN:

FRENIC MEGA ÖZET KULLANIM KLAVUZU

INTERNET BAĞLANTISININ KURULMASI İÇİN GEREKLİ YÖNLENDİRİCİ AYARLARI

FRENIC MULTİ ÖZET KULLANIM KLAVUZU

OKUL HABER EKLEME MODÜLÜ TANITIM DOSYASI. Okul haberlerinizi ilçemiz web sitesinde yayınlayabilmek için aşağıdaki adımları uygulamanız gerekmektedir.

MS WORD 4.BÖLÜM. Bölüm Adı: NESNE EKLEME Bölümün Amacı: Belgeye nesne ekleme işlemlerini gerçekleştirmek.

PSPICE Đ NASIL KULLANIRIM

Deney 2: Flip-Floplar

DC motorların sürülmesi ve sürücü devreleri

MATRİKS TRADER DERİNLİKLİ EMİR EKRANI

PEY-D810 SĠNYALĠZASYON SĠSTEMĠ

DENEY FÖYÜ8: Lojik Kapıların Elektriksel Gerçeklenmesi

İÇİNDEKİLER ÖZELLİKLER. 3-4 KONTROL PANELİ HARİCİ KONTROL ÜNİTESİ BAĞLANTILAR VE HABERLEŞMELER 23-24

LOJİK İFADENİN VE-DEĞİL VEYA VEYA-DEĞİL LOJİK DİYAGRAMLARINA DÖNÜŞTÜRÜLMESİ

6. Osiloskop. Periyodik ve periyodik olmayan elektriksel işaretlerin gözlenmesi ve ölçülmesini sağlayan elektronik bir cihazdır.

BİLGİSAYAR PROGRAMLAMA. Yrd. Doç. Dr. Beytullah EREN

DENEY 5: GENLİK KAYDIRMALI ANAHTARLAMA (ASK) TEMELLERİNİN İNCELENMESİ

DENEY 6: FLİP-FLOP (BELLEK) DEVRESİ UYGULAMALARI

1. Sayıcıların çalışma prensiplerini ve JK flip-floplarla nasıl gerçekleştirileceğini anlamak. 2. Asenkron ve senkron sayıcıları incelemek.

UYGULANMIŞ ÖRNEK PLC PROGRAMLARI

BİL 810 İnşaat Mühendisliğinde Bilgisayar Uygulamaları

MULTİMETRE. Şekil 1: Dijital Multimetre

Yarı İletkenler ve Temel Mantıksal (Lojik) Yapılar. Bilgisayar Mühendisliğine Giriş 1

İZMİR BUCA 1. ve 2. ETAP KONUT PROJELERİNDEN ARTAN 138 KONUT PROJESİ TERCİH SİSTEMİ KULLANIM KILAVUZU

Bölüm 7 Ardışıl Lojik Devreler

Stok Modülü Muhasebeleştirme İşlemleri

Elektrik Elektronik Mühendisliği Bölümü Lojik Devre Laboratuarı DENEY-2 TEMEL KAPI DEVRELERİ KULLANILARAK LOJİK FONKSİYONLARIN GERÇEKLEŞTİRİLMESİ

Kayıt İzleme (Playback)

NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ

düğmesine ile bir sayfa yukarıya, düğmesi ile bir sayfa aşağı gidebilirsiniz.

Transkript:

Malzemeler Select a Component penceresinden, Kaynak için ; Sources ana grubundan Power_Sources alt grubundan DC_Power kaynağından 1 adet, Direnç için ; Basic ana grubunun Resistor alt grubundan 3kohm_5% ve 100ohm_5% direncini, Transistör için ; Transistors ana grubunun BJT_NPN alt grubundan 2N2222A transistörünü, Lamba için ; Indicators ana grubunun Lamp alt grubundan 4V_05W (125mA) lambası, Toprak için ; Sources ana grubundan Power_Sources alt grubundan Ground topraklama elemanından 3 adet, Function Generator için ; Instrument Toolbar araç çubuğundan, Osilaskop için ; Instrument Toolbar araç çubuğundan seçilip, tasarım alanına getiriniz. 2.Aşama;Devre bağlantısının gerçekleştirilmesi, Şekil 208: Seçilen malzemeler Şekil 209: Devre bağlantı şeması 3.Aşama; Test cihazlarının ayar değerlerinin yapılması, Function Generator için ; kare dalga, 5 V ve 100 Hz seçili olmalı, Osilaskop için ; Time base : 5ms/div, her iki kanal 5 V/div ve her iki kanal DC polaritesinde olmalıdır. Şekil 210: Test cihazlarının ayar sahaları 4.Aşama; Devrenin simülasyonunun yapılması (çalıştırılması). Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 22

Devredeki Function Generator ü çıkışındaki kare dalga ile transistörün aniden doyma ve kesime gitmesini sağlamaktadır. Transistör doyum ve kesim durumlarında çalışmaktadır. Yani bir anahtar pozisyonunda çalışarak uygulanan frekansta lambanın yanıp sönmesi gerçekleşmektedir. Şekil 211: Devre simülasyonu Function Generator ünden kare dalga darbe gerilimi geldiğinde osilaskop tanda görüldüğü gibi transistör doyumdadır yani iletimdedir ve lamba yanmaktadır. Bu esnada transistör kollektör gerilim sıfıra yakın bir değere düşmüştür. Lamba ve direnç ucundaki gerilim ise yaklaşık kaynak gerilimine yakındır. Function Generator ünden kare dalga darbe gerilimi kesildiğinde transistör kesimdedir. Yani açık bir anahtar gibi olup, lamba sönmüştür. Kollektör ucundaki gerilim yaklaşık kaynak gerilimi kadardır. Bu analiz yukarıdaki osilaskop ekranında ayrıntılı olarak gözükmektedir. Tekrar devreyi çalıştırarak sizde bu anahtarlama pozisyonunu anlamaya çalışınız. Function Generator ünün frekansını değiştirerek anahtarlama frekansını değiştiriniz. Lambanın yanma ve sönme sıklığını izleyiniz. 9.4.1. TASARIM -11 Temel kapıların tasarlanması. Amacımız temel sayısal mantık kapılarını tanımak ve bunların mantık işlevlerini doğrulamaktır. 1.Aşama ; Malzemeleri tasarım alanına getirilmesi, Malzemeler Select a Component penceresinden, Kaynak için ; Sources ana grubundan Power_Sources alt grubundan DC_Power kaynağından 1 adet, Anahtar için ; Basic ana grubunu altındaki Switch grubundan SPST anahtarından 2 adet, Probe için ; Indicators ana grubunun Probe alt grubundan Probe_Blue lambası, Kapılar için ; MiscDigital ana grubunun TIL alt grubundan AND2, OR2, NOT, NOR2, NAND2, ENOR2, EOR2 kapısı, Toprak için ; Sources ana grubundan Power_Sources alt grubundan Ground topraklama elemanından 1 adet, tasarım alanına getiriniz. Şekil 215: Seçilen malzemeler Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 23

a. AND (ve) kapısı : AND kapısının girişlerinin tamamı 1 olduğunda çıkışı 1 dır. Diğer giriş durumlarında çıkış daima 0 olacaktır. Şekil 216: İki girişli AND kapısının çalıştırılması; (A=1, B=1) ve (A=0, B=1) durumu A ve B tuşlarına atadığımız anahtarları, kapı girişine kapalı iken lojik 1, açık iken lojik 0 uygulamış olacaktır. AND kapısının çıkışı lojik 1 ise lamba yanacak, değilse yani 0 ise sönecektir. İki girişli kapı olduğu için dört ayrı şekilde giriş uygulayabiliriz. Çıkış fonksiyonu girişlerin çarpımı şeklindedir. Çıkış Fonksiyonu; ÇIKIŞ = A.B dır. Yani AND kapısı çarpım kapısıdır. Tablo 4: İki girişli AND kapısı doğruluk tablosu b. OR (veya) kapısı : OR kapısının girişlerinin en az bir tanesi 1 olduğunda çıkışı 1 dır. Ancak tüm giriş 0 olduğunda çıkış 0 olacaktır. Buda OR kapısının toplama kapısı olduğunu gösterir. Şekil 217 : İki girişli OR kapısının çalıştırılması; (A=0, B=1) ve (A=0, B=0) durumu A ve B tuşlarına atadığımız anahtarları, kapı girişine kapalı iken lojik 1, açık iken lojik 0 uygulamış olacaktır. OR kapısının çıkışı lojik 1 ise lamba yanacak, değilse yani 0 ise sönecektir. İki girişli kapı olduğu için dört ayrı şekilde giriş uygulayabiliriz. Çıkış fonksiyonu girişlerin toplamı şeklindedir. Çıkış Fonksiyonu; ÇIKIŞ = A+B dır. Yani OR kapısı toplama kapısıdır. Tablo 5: İki girişli OR kapısı doğruluk tablosu c. NOT (değil ) kapısı : NOT kapısı, girişine uygulanan sinyali tersler. Giriş sinyali 1 olduğunda çıkışı 0 dır. giriş 0 olduğunda çıkış 1 olacaktır. NOT kapısına tersleyici kapısı adı verilir. Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 24

Şekil 218 : NOT kapısının çalıştırılması; (A=0,Ç=1) ve (A=1,Ç=0) durumu A atadığımız anahtarları, kapı girişine kapalı iken lojik 1, açık iken lojik 0 uygulamış olacaktır. NOT kapısının çıkışı lojik 1 ise lamba yanacak, değilse yani 0 ise sönecektir. Tek girişli kapı olduğu için iki ayrı şekilde giriş uygulayabiliriz. Çıkış fonksiyonu girişlerin değili şeklindedir. Çıkış Fonksiyonu; ÇIKIŞ = A dır. Tablo 6: NOT kapısı doğruluk tablosu d. NAND (ve değil) kapısı : NAND kapısının girişlerinin tümü 1 olduğunda çıkış 0 dır. Diğer tüm girişlerde çıkış 1 olacaktır. Buda NAND kapısının çıkışının, giriş işaretlerinin çarpımlarının tersini verdiğini gösterir. Şekil 219: İki girişli NAND kapısının ; (A=1, B=1) ve (A=0, B=0) durumu A ve B tuşlarına atadığımız anahtarları, kapı girişine kapalı iken lojik 1, açık iken lojik 0 uygulamış olacaktır. OR kapısının çıkışı lojik 1 ise lamba yanacak, değilse yani 0 ise sönecektir. İki girişli kapı olduğu için dört ayrı şekilde giriş uygulayabiliriz. Çıkış fonksiyonu girişlerin çarpımının değili şeklindedir. Çıkış Fonksiyonu; ÇIKIŞ = (A.B ) dır. Tablo 7: İki girişli NAND kapısı doğruluk tablosu e. NOR (veya değil) kapısı : NOR kapısına uygulanan herhangi bir işaret 1 olduğunda çıkış 0, girişlerin tümünün 0 olduğu durumda çıkış 1 olan kapıdır. NOR kapısının girişleri birbirine bağlanırsa İnverter gibi (not) olarak çalışır. Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 25

Şekil 220: İki girişli NOR kapısının çalıştırılması; (A=0, B=0) ve (A=1, B=0) durumu A ve B tuşlarına atadığımız anahtarları, kapı girişine kapalı iken lojik 1, açık iken lojik 0 uygulamış olacaktır. OR kapısının çıkışı lojik 1 ise lamba yanacak, değilse yani 0 ise sönecektir. İki girişli kapı olduğu için dört ayrı şekilde giriş uygulayabiliriz. Çıkış fonksiyonu girişlerin toplamının değili şeklindedir. Çıkış Fonksiyonu; ÇIKIŞ = (A+B ) dır. Tablo 8: İki girişli NOR kapısı doğruluk tablosu f. XOR (özel veya) kapısı : XOR kapısı iki bit i kıyaslar. Bu bit ler birbirinden farklı ise çıkış 1 dir. Aynı ise çıkış 0 dır. (Örneğin, 4 girişli XOR kapısında 0001, 0010, 0100, 0111, 1000, 1011, 1110 girişlerinde çıkış 1 dır. Girilen 1 lerin sayısı çift ise çıkış 0 olacaktır. ) Şekil 221: İki girişli XOR kapısının çalıştırılması; (A=1, B=1) ve (A=0, B=1) durumu A ve B tuşlarına atadığımız anahtarları, kapı girişine kapalı iken lojik 1, açık iken lojik 0 uygulamış olacaktır. XOR kapısının çıkışı lojik 1 ise lamba yanacak, değilse yani 0 ise sönecektir. İki girişli kapı olduğu için dört ayrı şekilde giriş uygulayabiliriz. Çıkış Fonksiyonu; ÇIKIŞ = A B =A.B+A.B dır. Tablo 9: İki girişli XOR kapısı doğruluk tablosu g. XNOR (özel veya değil) kapısı : XNOR kapısıda iki bit i kıyaslar. Bu bit ler birbirinden farklı ise çıkış 0 dir. Aynı ise çıkış 1 dır. (Örneğin, 4 girişli XOR kapısında 0000, 0011, 0110, 1001, 1010, 1100, 1111 girişlerinde çıkış 1 dır. Girilen 1 lerin sayısı tek ise çıkış 0 olacaktır. ) Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 26

Şekil 222: İki girişli XNOR kapısının ; (A=0, B=0) ve (A=0, B=1) durumu A ve B tuşlarına atadığımız anahtarları, kapı girişine kapalı iken lojik 1, açık iken lojik 0 uygulamış olacaktır. XNOR kapısının çıkışı lojik 1 ise lamba yanacak, değilse yani 0 ise sönecektir. İki girişli kapı olduğu için dört ayrı şekilde giriş uygulayabiliriz. Tablo 10: İki girişli XNOR kapısı doğruluk tablosu Çıkış Fonksiyonu; ÇIKIŞ = (A( B) =(A.B+A.B ) dır. 9.4.2. TASARIM -12 Mantık kapılarının giriş sayısının artırılması. MultiSIM7 programı mantık kapılarının giriş sayını 8 kadar olanlarının kullanılmasına izin vermektedir. Fakat biz genelde 2 girişli mantık kapılarını kullanarak giriş sayısını çoğaltma yoluna gitmekteyiz. Bu tasarımda giriş sayısının çoğaltılmasıyla ilgi birkaç örnek verilecektir. 1.Aşama ; Malzemeleri tasarım alanına getirilmesi, Malzemeler Select a Component penceresinden, Kaynak için ; Sources ana grubundan Power_Sources alt grubundan DC_Power kaynağından 1 adet, Anahtar için; Basic ana grubunu altındaki Switch grubundan SPST anahtarından 4 adet, Probe için ; Indicators ana grubunun Probe alt grubundan Probe_Red lambası, Kapılar için ; MiscDigital ana grubunun TIL alt grubundan NOR2, NAND2, kapılarından 5 adet, Toprak için ; Sources ana grubundan Power_Sources alt grubundan Ground topraklama elemanından üç adet, tasarım alanına getiriniz. a. 4 girişli OR (veya) kapısı : 3 adet 2 girişli OR kapısı kullanılarak 4 girişli bir OR kapısı oluşturulabilir. Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 27

Şekil 224 : Devre Bağlantısı OR kapısı girişlerin çarpımını çıkışa yansıtmaktaydı. Girişlerden hangisi 1 olursa çıkış 1 dir. Sadece tüm girişlerin 0 olduğunda çıkış 0 olabilir. Çıkış fonksiyonu ; ÇIKIŞ=A.B.C.D Devrede BUS bara kullanılmıştır. BUS barayı mouse sağ tuş açılan pencereden Place Bus seçeneği ile istediğimiz yere çizebileceğimizi daha önce anlatmıştık. Burada yerleştirilen Bus bara 4 anahtarı aynı gerilim kaynağına bağlantısını gerçekleştireceği için mutlaka bu baraya her bağlantının düğüm adresi aynı olmalıdır. b. 4 girişli NAND (ve değil) kapısı : 2 girişli NAND kapıları kullanılarak 4 girişli NAND kapısının elde edilebilir. Şekil 225 : Devre Bağlantısı Bu tasarımda U2 ve U4 NAND kapıları Inverter olarak kullanılmıştır. Bu mantık devresinde girişlerin hepsi 1 olduğunda çıkış 0 dır. Diğer tüm girişlerde çıkış 1 olur. Çıkış fonksiyonu ; ÇIKIŞ = (A.B.C.D) c. 4 girişli NOR(veya değil) kapısı : 2 girişli NOR kapıları kullanılarak 4 girişli NOR kapısının elde edilebilir. Şekil 226: Devre Bağlantısı Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 28

Bu tasarımda U2 ve U4 NAND kapıları Inverter olarak kullanılmıştır. Bu mantık devresinde girişlerin hepsi 0 olduğunda çıkış 1 dır. Diğer tüm girişlerde çıkış 0 olur. Çıkış fonksiyonu ; ÇIKIŞ = (A+B+C+D) 9.4.3. TASARIM 13 Inverter (tersleyici) kullanılarak AND ve OR kapılarının birbirlerine çevrilmesinin tasarımı, 1.Aşama ; Malzemeleri tasarım alanına getirilmesi, Malzemeler Select a Component penceresinden, Kaynak için ; Sources ana grubundan Power_Sources alt grubundan DC_Power kaynağından 1 adet, Anahtar için ; Basic ana grubunu altındaki Switch grubundan SPST anahtarından 2 adet, Probe için ; Indicators ana grubunun Probe alt grubundan Probe_Red lambası, Kapılar için ; MiscDigital ana grubunun TIL alt grubundan NOT 3 adet, OR2, AND2 bir adet, Toprak için ; Sources ana grubundan Power_Sources alt grubundan Ground topraklama elemanından üç adet, tasarım alanına getiriniz. Şekil 227 : Seçilen malzemeler a.and kapısının OR kapısına çevrilmesi : AND kapısının giriş ve çıkışlarına NOT kapıları bağlayarak, AND kapısını OR kapısına çevirebiliriz. Şekil 228: Devre Bağlantısı Girişlerin tersini alan U1 ve U2 NOT kapılarından gelen işaretleri AND kapısı çarparak çıkışına verir. Bu çıkışı tersleyen U3 NOT kapısı ile çıkış, giriş işaretlerinin toplamı olmuştur. Çıkış fonksiyonu ; ÇIKIŞ = A+B b.or kapısının AND kapısına çevrilmesi : OR kapısının giriş ve çıkışlarına NOT kapıları bağlayarak, OR kapısını AND kapısına çevirebiliriz. Şekil 229 : Devre Bağlantısı Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 29

Girişlerin tersini alan U1 ve U2 NOT kapılarından gelen işaretleri OR kapısı toplayarak çıkışına verir. Bu çıkışı tersleyen U3 NOT kapısı ile çıkış, giriş işaretlerinin çarpımı olmuştur. Çıkış fonksiyonu ; ÇIKIŞ = A.B 9.4.4. TASARIM -14 RS Flip-Flop devre tasarımı. Sayısal bilgiyi (0 veya 1) depolamada ve işlemede kullanılan temel devrelerden biridir. Girişleri S (set) ve R (reset) olarak isimlendirilir. Çıkışları Q ve Q olarak gösterilir. Q çıkışındaki bilgi Flip-Flop un durumunu gösterir. Eğer Q=1 ise F-F 1 durumunda, Q=0 ise, F-F 0 durumundadır. Flip-Flop devreleri NOR ve NAND kapıları ile oluşturulur. 1.Aşama ; Malzemeleri tasarım alanına getirilmesi, Malzemeler Select a Component penceresinden, Kaynak için ; Sources ana grubundan Power_Sources alt grubundan DC_Power kaynağından 1 adet, Anahtar için; Basic ana grubunu altındaki Switch grubundan SPST anahtarından 2 adet, Probe için ; Indicators ana grubunun Probe alt grubundan Probe_Red lambasından 2 adet, Kapılar için ; MiscDigital ana grubunun TIL alt grubundan NOR2 kapılarından 2 adet ve SR-FF bir adet, Toprak için ; Sources ana grubundan Power_Sources alt grubundan Ground topraklama elemanından üç adet, tasarım alanına getiriniz. Şekil 230 : Seçilen malzemeler Şekil 231: Devre Bağlantısı ve Doğruluk Tablosu Girişler 0 iken F-F çıkışları bir önceki durumu korur, girişler 1 olduğunda F-F un durumu kararsızdır.bu nedenle, S ve R girişlerinin her ikisine aynı anda 1 uygulanmaz. Yukarıdaki RS Flip-Flop unu NOR ve NAND kapıları ile de tasarlayabiliriz. Şekil 232: NOR ve NAND kapıları ile yapılan Flip-Flop NOR kapıları ile yapılan F-F da iki giriş de 1 iken çıkışların ikisi de 0 dır. Bu durum kullanılmaz.bunun dışında çıkışlar birbirinin tersidir. NAND kapıları ile yapılan F-F da her iki giriş 0 iken çıkışların her ikide 1 dır. Bunun dışında çıkışlar birbirinin tersidir. Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 30

9.4.5. TASARIM -15 D Flip-Flop kullanılarak 4 bitlik yukarı sayıcı devresi tasarımı. Her bir clock palsinde, önceden belirlenen durum sırasından geçen devreye sayıcı (counter) adı verilir. Devre sayıcı fonksiyonunun yanısıra ; frekans bölme, bilgi depolama ve kodlayıcı olarak geniş uygulama alanına sahiptir. Dijital bir sistemdeki işlemlerin kontrolü için gerekli zamanlama sıralarının üretilmesi için kullanılır. Her sayıcının temel elemanı Flip-Flop lardır. 1.Aşama ; Malzemeleri tasarım alanına getirilmesi, Malzemeler Select a Component penceresinden, Kaynak için ; Sources ana grubundan Power_Sources alt grubundan DC_Power kaynağından 1 adet, Probe için ; Indicators ana grubunun Probe alt grubundan Probe_Red lambasından 4 adet, D-Flip-Flop için ; MiscDigital ana grubunun TIL alt grubundan D-FF Flip-Flop undan 4 adet, Kod Çözücü 7 segmentli Display için ; Indicators ana grubunun Hex-Dısplay alt grubundan DCD-HEX display, Toprak için ; Sources ana grubundan Power_Sources alt grubundan Ground topraklama elemanından üç adet, Function Generator için ; Instrument Toolbar araç çubuğundan, tasarım alanına getiriniz. Seçilen malzemeler Şekil 233 : Devre Bağlantısı ve simülasyon Function Generator un frekansı 1000Hz (bilgisayar hızına göre değiştirebilirsiniz), Duty Cycle 50, genliği 5 volt ve kare dalga olarak tanımlayınız. Devre çalıştığında, probları izleyerek 4 bitlik yukarı sayma sürecini gözlemleyebilirsiniz. Aynı tasarımı, flip-flop çıkışlarındaki lojik probları çıkartıp yerine 7 segmen display bağlayarak ta gerçekleştirebiliriz. Kod çözücülü 7 segmentli display, Indicators ana grubunun Hex-Dısplay alt grubundan DCD-HEX olarak bulabilirsiniz. Devre bağlantısı ve çalışması aşağıdaki gibidir. 3.Aşama ; Devre bağlantısının gerçekleştirilmesi ve simülasyon, Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 31

Şekil 234: 7 segmentli display ile devre bağlantısı ve simülasyon Simülasyon yaptığımızda, 7 segmentli displayın 0 dan başlayarak 15 kadar (4 bit olduğu için) yukarı sayma yapacaktır. Bildiğiniz gibi 10,11,12,13,14,15 değerleri için kod çözücü yardımıyla A,B,C,D,E,F olarak ifade edilmektedir. 10.2.1 TASARIM -16 Word jeneratörü ve lojik analizörün kullanımını da kapsayan bir 0-9 arasında yukarı sayabilen devre tasarımının yapılması. Bu tasarım için 7 segmentli display kullanılacaktır. 7 li göstergeler 2 türlüdür. Bunlar anodu ortak katotlar bağımsız veya katodu ortak anodu bağımsız yedili göstergelerdir. Her ikisinde de katoda akım sınırlayıcı dirençler bağlanmalıdır. 1.Aşama ; Malzemeleri tasarım alanına getirilmesi, Malzemeler Select a Component penceresinden, Direnç için ; Basicl ana grubunun Resistor alt grubundan 30Ohm_5% direnci, Toprak için ; Sources ana grubundan Power_Sources alt grubundan Ground topraklama elemanı, Logic Analyzer için ; Instrument Toolbar araç çubuğundan, Word Generator için ; Instrument Toolbar araç çubuğundan, 7 segment display için ; Indicators ana grubunun Hex-Display alt grubundan Seven_Seg_Com_K display, tasarım alanına getiriniz. Şekil 235 : Seçilen malzemeler 3.Aşama ; Word Generator un simgesini çift tıklayarak, kontrol panelini açınız. Word girmek için Hex, Dec, Binary, veya ASCII seçeneklerini Display kısmından seçmeliyiz. Biz wordleri Binary gireceğimiz için binary işaretleyiniz. Seçildikten sonra word değerlerini gireceğimiz aktif ekran penceresi seçilen sisteme göre boyut değiştirecektir. Bu pencereden istediğimiz satırı mouse ile seçtiğimizde o satır girişler için giriş hücresi haline gelecektir. Biz aşağıda verilen kodları bu satırlara girmeliyiz. Değerleri girmemizden sonra tıklamayla veya enter ile girişler o satıra yerleşip bir alt satıra cursor kayacaktır. Word generator un frekansı 1 Khz olmalı ( bu değer bilgisayar hızına göre değişebilir,siz en uygununu seçiniz), Cycle düğmesi seçili olmalı tüm satırlar sırayla çıkışa uygulanır ve başa dönülerek işlem devam eder. Bizim girdiğimiz word 16 satır olduğu için bu döngüyü bu satırlar arasında sınırlamalıyız. Bunun için ilk satıra gelip mouse sağ tuşu ile açılan pencereden Set Initial Position seçilerek döngü başlangıcı, girdiğimiz son koda gelerek gene mouse sağ tuşu ile açılan menuden Set Final Position seçilmelidir.bu iki set edilen satırlar arasında ki binary kodlar aynen çıkışa uygulanır. İstersek bu iki set değeri arasında hangi satırdan başlayacağımızı işaretleyebiliriz, bunun için mouse satır üzerinde iken sağ tuş ile açılan pencereden Set Cursor seçilmelidir. Bu seçilince satır başında bir yeşil ok belirecektir. Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 32

Şekil 236 : Word generator ; girilecek binary kodlar ve satır set ayarları İsterseniz Set düğmesi ile açılacak setting penceresinden yazdığınız binary kodları save düğmesiyle saklayabilirsiniz. 4.Aşama ; Logic Analyzer simgesini çift tıklayarak, kontrol panelini açınız. Bu panelden Clock bölümünden clocks/div bölünü 1 re ve set butonunu tıklayarak açılacak clock setup penceresinden Clock Rate frekansını 1Khz ( Word generator frekansı ile aynı ) yapınız. İsterseniz ekran rengini beyaz veya siyah seçmek için kontrol panelinden Reserve düğmesi ile değiştirebilirsiniz. 5.Aşama ; Devre bağlantı şeması ve simülasyon, Şekil 237 : Logic analyzer ; kontrol paneli ve clock setup ekran Şekil 238 : Devre bağlantısı ve simülasyon Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 33

Simülasyona başladığımızda, Word generator üne girdiğimiz binary kodlar sırayla 7 segmentli display e uygulanacaktır. 1 uygulandığında ilgili segment yanacak, 0 uygulandığında ise sönük kalacaktır. Yanık ve sönük segmentler görüntülenecek rakamı (9 dan sonra A,B,C,D,E,F harflerini ) belirleyecektir. Word generator un çıkışını aynı anda, logic analyzer ekranında da izleyebilirsiniz. 10.2.2 TASARIM -17 Dijital bir devrenin DOĞRULUK TABLOSU elde edilmesi. AND, OR ve NOT kapılarından oluşan basit bir dijital devrenin Logic Coverter kullanılarak doğruluk tablosunun elde edilmesi. 1.Aşama ; Malzemeleri tasarım alanına getirilmesi, Malzemeler Select a Component penceresinden, Kapılar için ; MiscDigital ana grubunun TIL alt grubundan OR2 1, AND2 2 adet, NOT kapısından 1 adet, Logic Converter için ; Instrument Toolbar araç çubuğundan, tasarım alanına getiriniz. Şekil 239 : Seçilen malzemeler 2.Aşama ; Devre bağlantı şeması, Şekil 240 : Devre bağlantı şeması 3.Aşama ; Logic Convertör simgesini çift tıklayarak ön panel görüntüsünü büyütünüz. Ön paneldeki düğmesini tıklayınız. Sol bölümde devrenin girişleri ile çıkışlarını veren doğruluk tablosu görüntülenecektir. Şekil 241 : Logic Converter ön paneli ve devre doğruluk tablosu 10.2.3 TASARIM -18 Dijital bir devrenin doğruluk tablosundan BOOLEAN (çıkış fonksiyonu) ifadesi elde etmek. AND, OR ve NOT kapılarından oluşan basit bir dijital devrenin Logic Coverter kullanılarak doğruluk tablosunun ve Boolean ifadesinin elde edilmesi. 1.Aşama ; Malzemeleri tasarım alanına getirilmesi, Malzemeler Select a Component penceresinden, Kapılar için ; MiscDigital ana grubunun TIL alt grubundan OR2, AND2 ve AND3 bir adet, NOT kapısından iki adet, Logic Converter için ; Instrument Toolbar araç çubuğundan, tasarım alanına getiriniz. Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 34

Şekil 242 : Seçilen malzemeler 2.Aşama ; Devre bağlantı şeması, Şekil 243: Devre bağlantı şeması 3.Aşama ; Logic Converter simgesini çift tıklayarak ön panel görüntüsünü büyütünüz. Aşağıdaki düğmelere sıra ile basınız ; düğmesini tıklayınız; sol bölümde devrenin girişleri ile çıkışlarını veren doğruluk tablosu görüntülenecektir. Şekil 244: Logic Converter ön paneli ve devre doğruluk tablosu düğmesini tıklayınız ; yukarıda elde ettiğimiz doğruluk tablosunu boolean ifadesine çevirir. Boolean ifadesi Logic Converter en altındaki pencerede A BC + A BC görülecektir. Bu ifade sadeleşmemiş haldedir. Şekil 245 : Logic Converter ön paneli ve boolean ifadesi Yukarıdaki dijital devrenin çıkış (boolean) ifadesini normalde (kağıt-kalemle) ya çıkıştan girişe (genelde ) yada girişten çıkışa doğru giderek bulabilirdik. Aşağıdaki şekilde her kapının giriş ve çıkış değerleri yazılarak çözüme gidilmiştir. Şekil 246: Örnek dijital devre Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 35

Şekilde de görüldüğü gibi, çıkışa bağlı kapı iki girişli bir OR kapısıdır ve toplama işlemi yapar. Bu durumda çıkış OR kapısına gelen lojiklerin toplamı olmalıdır. ÇIKIŞ = ( 1.kapı ) + ( 2. kapı ) OR kapısına bağlı lojik kapı ise iki 3 girişli AND yanı çarpım kapısıdır. Bu kapıların fonksiyonunu yazar, çıkış fonksiyonundaki yerlerine koyarsak çözüme ulaşırız.. 1.Kapı : A.B.C 2. Kapı : A.B.C - ÇIKIŞ = ( A.B.C ) + ( A.B.C ) 10.2.4 TASARIM -19 Boolean (çıkış fonksiyonu) ifadesini SADELEŞTİRMEK. Tasarım 18 de elde ettiğimiz Boolean ifadesinin (çıkış fonksiyonunun) sadeleştirilmesini gene Logic Converter ile elde edilmesi. 1.Aşama ; Tasarım 18 deki devreyi eğer sildiyseniz tekrar kurunuz. 2.Aşama ; Logic Converter simgesini çift tıklayarak ön panel görüntüsünü büyütünüz. Aşağıdaki düğmelere sıra ile basınız ; düğmesini tıklayınız; sol bölümde devrenin girişleri ile çıkışlarını veren doğruluk tablosu görüntülenecektir. (Şekil 244) düğmesini tıklayınız ; yukarıda elde ettiğimiz doğruluk tablosunu boolean ifadesine çevirir. Boolean ifadesi Logic Converter en altındaki pencerede A BC + A BC görülecektir. (Şekil 245) düğmesini tıklayınız; alt pencerede elde ettiğimiz boolean ifadesinin sadeleşmiş hali (mümkünse) A B görüntülenecektir. Şekil 247: Logic Converter ön paneli ve sadeleşmiş boolean ifadesi Logic converter sadeleştirme işleminde Quine-McCluskey metodunu kullanır. Bu metot bildiğimiz Karnuogh Map tekniğinden farklıdır. Çünkü Karnuogh Map metodu giriş değişken sayısı az olduğu zaman kağıt üzerinde uygulanabilen bir tekniktir. Quine-McCluskey metodu ise kağıt üzerinde çok zor sayıda giriş değişkeni olduğunda başvurulan bir yöntemdir. Yukarıdaki boolean ifadesini i Boolean Cebri kuralları kurallarını kullanarak basitleştirebiliriz. ÇIKIŞ =( A.B.C ) + ( A.B.C ) Bu ifadede her iki parantez içinde ortak olan girişleri ortak paranteze alabiliriz. ÇIKIŞ =A.B ( C.C ) Boolean cebri kurallarına göre ; bir işaretin tersiyle (değili ile ) çarpımı 1 eşittir. Buna göre C.C = 1 dir. Sonuçta sadeleşmiş çıkış ifadesi ; ÇIKIŞ =A.B olur. 10.2.5 TASARIM -20 Boolean (çıkış fonksiyonu) ifadesinden DOĞRULUK TABLOSU elde edilmesi. Çıkış fonsiyonu bilinen bir dijital devrenin Logic converter kullanarak doğruluk tablosunun elde edilmesi. 1.Aşama ; Malzemeleri tasarım alanına getirilmesi, Malzemeler Select a Component penceresinden, Logic Converter için ; Instrument Toolbar araç çubuğundan, tasarım alanına getiriniz. 2.Aşama ; Logic Converter simgesini çift tıklayarak ön panel görüntüsünü büyütünüz. B C +AB+A B C+A BC boolean ifadesini Logic Converter en altındaki pencerede klavyeden aşağıdaki gibi giriniz. Şekil 248: Klavyeden boolean ifadesi girilmesi Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 36

3.Aşama ; Aşağıdaki butona basarak girilen boolean iafdesinin doğruluk tablosu elde edilir. ; boolean ifadesini doğruluk tablosunu elde eder. Aşağıda bu düğmeye bastığımızda elde edilen doğruluk tablosu görülmektedir. Şekil 249: Booelan ifadesinin doğruluk tablosunun elde edilmesi 4.Aşama ; Klavyeden girdiğimiz Boolean ifadesi sadeleşmemiş olabilir. Mümkünse gerekli sadeleştirmeyi yapabilmek için aşağıdaki sadeleşme butonuna basmalıyız. fonksiyonunu elde edebiliriz. düğmesini tıkladığımızda A B +AC +BC sadeleşmiş çıkış 10.2.6 TASARIM -21 Şekil 250: Logic Converter ön paneli ve sadeleşmiş boolean ifadesi Boolean (çıkış fonksiyonu) ifadesinden DİJİTAL DEVRE ELDE ETMEK. Tasarım 20 da Çıkış fonksiyonu bilinen bir dijital devrenin Logic converter kullanarak doğruluk tablosunun elde etmiştik, Bu doğruluk tablosuna göre Logic converter kullanarak lojik kapılardan oluşan veya sadece NAND kapılarından oluşan, Dijital devreleri sadeleşmiş ve sadeleşmemiş olarak çalışma alanımıza çizdirebiliriz. 1.Aşama ; TASARIM-20 deki B C +AB+A B C+A BC boolean ifadesini Logic converter klavyeden giriniz. 2.Aşama ; Aşağıdaki butona basarak girilen boolean ifadesinin doğruluk tablosu elde ediniz. 3.Aşama ; Aşağıdaki butona basarak girilen boolean ifadesinin dijital devresini çizdiriniz. düğmesini tıklayarak; çizilecek devre çalışma alanına taşınmaya hazır olarak yani tüm elemanları seçili olarak belirecektir. İsterseniz devreyi bu seçili halde iken taşıyabilir veya bir makro içine koyabilirisiniz. Seçimi iptal etmek için çalışma alanının boş bir yerine tıklamanız yeterli olacaktır. Elde edilen dijital devre aşağıdaki gibidir.(sadeleşmemiş) Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 37

Şekil 251: Logic Converter ile dijital devre elde etme 4.Aşama ; Aşağıdaki butona basarak girilen boolean ifadesinin sadeleştirip tekrar sadeleşmiş dijital devreyi çizdirebiliriz. düğmesini tıkladığımızda, A B +AC +BC sadeleşmiş çıkış fonksiyonunu elde ederiz. düğmesini tıklayarak; sadeleşmiş boolean ifadesinin Doğruluk tablosu değişmemiştir. dijital devresini çizebiliriz. Şekil 252: Logic Converter ile dijital devre elde etme (sadeleşmiş) 10.2.7 TASARIM -22 NAND kapılarından oluşan DİJİTAL DEVRE ELDE ETMEK. Tasarım 21 de sadeleşmemiş ve sadeleşmiş dijital devreleri çizdirmiştik. Logic Converter bize istersek sadece NAND kapılarından oluşan dijital devreleri çizebilir. Bilindiği gibi dijital elektronik devrelerde en çok kullanılan kapı NAND kapısıdır. Her dijital devrenin NAND kapılarından oluşan bir eş değeri bulunmaktadır. Bizde bir evvelki tasarımdaki boolean ifadesini alarak (sadeleşmiş veya sadeleşmemiş ) NAND kapılarından oluşan dijital devreyi çizdirelim. 1.Aşama ; TASARIM-20 deki B C +AB+A B C+A BC boolean ifadesini Logic converter klavyeden giriniz. Sadeleştiriniz ve doğruluk tablosunu oluşturunuz. 2.Aşama ; Aşağıdaki butona basarak girilen boolean ifadesinin NAND kapılarından oluşan dijital devresini çizdiriniz. düğmesini tıkladığımızda NAND kapılarından oluşan dijital devre aşağıdaki gibidir. Şekil 253: Logic Converter ile dijital devre elde etme (NAND Kapılarından) 10.2.8 TASARIM -23 Logic Converter kullanarak DOĞRULUK TABLOSUNDAN dijital devre elde etmek. Klavyeden girilecek doğruluk tablosundan önce, giriş değişkenleri sayısı kadar giriş terminali, Converter üzerinde bulunan A dan H harfine kadar sıralanmış 8 giriş terminalinden seçilerek (tıklanarak) standart doğruluk tablosu girişleri otomatik olarak görüntülenmelidir. Örneğin 3 (3 bitlik) değişkenli doğruluk tablosu için, A,B C terminalleri üzerine tıkladığımızda 0 dan 7 ye kadar 8 değişik binary kombinasyonu 0 dan 7 kadar sıralanır. Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 38

Şekil 254: Logic Converter giriş terminallerinin açılması Sağ taraftaki OUT çıkış kolonu ise? işareti ile doludur. Çıkış kolonuna mouse ile tıklayarak her girişin durumu için 0 ve 1 çıkışları (mouse ilk tıklama 0, ikinci tıklama 1 veya klavyeden) girilebilir. Çıkışa ayrıca x değeri girilebilir ki, bu o çıkışın 1 veya 0 olmasının önemli olmadığını, dikkate alınmaması gerektiğini belirtmiş oluruz. Örnek olarak şu tasarımı ele alalım; Bir fabrikada kazan dairesinde bulunan 3 pompa motorlarında en az ikisi arızalandığında alarm veren sistemi tasarlayalım. 1.Aşama ; Sistemin doğruluk tablosunun oluşturulması; iki veya üç motor arızalandığında çıkış 1 olmalı, diğer durumlarda çıkışlar 0 dır. Motorların çalışması 1, çalışmaması 1 lojiği ile ifade edilmiştir. 2.Aşama ; Oluşturduğumuz doğruluk tablosunu Logic Converter e girilmesi, Şekil 256: Motorların doğruluk tablosunun Logic Convertere işlenmesi 3.Aşama ; Girdiğimiz doğruluk tablosundan, Aşağıdaki düğmeler yardımıyla, Boolean ifadesi ve sadeleşmiş ifadenin elde edilmesi. Şekil 255: Motorların doğruluk tablosu düğmesi ile, boolean ifadesi aşağıdaki pencerede belirecektir. düğmesi ile, elde edilen boolean ifadesi sadeleşiyor ise sadeleştirilecektir. Şekil 257: Motorların doğruluk tablosunun boolean ifadesi Şekil 258: Motorların doğruluk tablosunun boolean ifadesinin sadeleşmiş ifadesi 4.Aşama ; Sadeleşmiş boolean ifadesinden aşağıdaki düğme çizdirilmesi. kullanılarak istenen dijital devrenin düğmesi ile, dijital devreyi çizdirirsek aşağıdaki şekli elde ederiz. Şekil 259: Tasarlanan lojik devrenin şeması Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 39

4.Aşama ; Devrenin sadece NAND kapılarından oluşan tasarımını aşağıdaki düğmeyi kullanarak elde edebiliriz. düğmesi ile, dijital devrenin sadece NAND kapılarından oluşan modeli gelir. Şekil 260: Tasarlanan lojik devrenin şeması (sadece NAND kapıları) 12.1. Analog Devrede Subcircuit Oluşturma Örneği TASARIM 24 : Örnek olarak sizlere ikinci ödev olarak verilen Turn Off devresi ele alınmıştır. Bu devrede besleme kaynağı, anahtar ve lamba dışarıda kalarak tüm diğer devre elemanlarının subcircuit (özel devre) içinde kalacak şekilde tasarımının gerçekleştirilmesi. 1.Aşama ; Malzemenin tasarım alanına getirilmesi. Malzemeler Select a Component penceresinden, Kaynak için ; Sources ana grubundan Power_Sources alt grubundan DC_Power kaynağı, Direnç için ; Basic ana grubunun Resistor alt grubundan 100Ohm_5% dirençlerden iki adet, 1.0kOhm_5% dirençlerden bir adet, 2.0kOhm_5% direnç bir adet, 240Ohm_5% direnç bir adet, Toprak için ; Sources ana grubundan Power_Sources alt grubundan Ground topraklama elemanı, Anahtar için ; Basic ana grubunu altındaki Switch grubundan SPST anahtarı, Transistör için ; Transistors ana grubunun TRANSISTOR alt grubundan BJT_NPN_VIRTUAL transistörünü, Coils-relays için ; Electro_Mechanic ana grubunun COILS_RELAY alt grubundan Control_No rölesini, Kondansatör için ; Basic ana grubunun Capacitor alt grubundan 10uF kondasatörünü, Led_Blue için ; Diodes ana grubunun LED alt grubundan LED_blue ledini, seçilerek tasarım alanına getiriniz. Şekil 303: Seçilen malzemeler Şekil 304: Devre bağlantısı Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 40

Şekil 305: Simülasyon esnasında osilaskop ekranı ve devre çalışma durumları 3.Aşama ; Subcircuit oluşturmak için özel devre içinde kalacak elemanların seçilmesi. Bu işlem için önce hangi elemanların blok dışı kalacağı ve hangilerin bloğa gireceğine karar verilir. Biz anahtar, kaynak, led (yük) ve osilaskop ucunu test amaçlı blok dışında bıraktık. Bunu yapabilmek için bu elemanları seçim dışında kalabilmesi için aşağıdaki şekilde olduğu gibi devre dışına çekildi. Diğer tüm elamanlar subcircuit içinde kalacak şekilde aşağıdaki gibi seçilmiştir. Ayrıca subcircuit blok dışındaki uçlarına düğümler eklenerek bunlara devre takibi için etiket değeri eklenmiştir. Şekil 306: Subcircuit içinde kalacak elemanların mouse ile seçilmesi 4.Aşama ; Subcircuit oluşturmak için özel devre içinde kalacak elemanların seçtikten sonra ; araç çubuğundan Place menüsünden Replace by Subcircuit komutu çalıştırılarak ekrana gelen Subcircuit Name penceresinden isim verilerek özel devre başlatılır. Şekil 307: Subcircuit isim penceresi Bilgisayar Destekli Tasarım Uygulamaları / Hzr : H. Atabek 41