Geçtiğimiz hafta# Dizisel devrelerin tasarımı# Bu hafta# Örnek: Sekans algılayıcı# Örnek: Sekans algılayıcı# 12/11/12

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "Geçtiğimiz hafta# Dizisel devrelerin tasarımı# Bu hafta# Örnek: Sekans algılayıcı# Örnek: Sekans algılayıcı# 12/11/12"

Transkript

1 2//2 Geçtiğimiz hafta# İL 2 Dizisel Devrelerin Tasarımı ve Yazmaçlar ve Sayaçlar (Registers and Counters)# Dizisel devreler (sequential circuits) Mandallar (latches) İkidurumlular (flip-flops) Dizisel devrelerin analizi Dizisel devrelerin tasarımı Hacettepe Üniversitesi ilgisayar Müh. ölümü 2 u hafta# Dizisel devrelerin tasarımı Yazmaçlar Yazmaçların paralel yüklenmesi Kaydırma ve seri yükleme Seri toplama işlemi Evrensel kaydırma yazmacı Sayaçlar Dalgacık sayaçlar Zamanuyumlu sayaçlar Ve diğer sayaçlar.. Dizisel devrelerin tasarımı# Verilen tanımdan durum çizeneğini ve durum çizgesini oluştur. Gerekiyorsa durum sayısını azalt. İkili kodlanmış (binary coded) durum çizgesini elde etmek için durumlara ikili sayı değerleri ata. Kullanılacak olarak ikidurumluların türünü belirle. asitleştirilmiş ikidurumlu giriş ve çıkış denklemlerini elde et. Devre şemasını çiz. 3 4 Üç veya daha fazla ardışık gelen leri içeren bit dizgilerini algılayan bir devrenin tasarımı Devrenin durum çizeneği: S / S / D türü ikidurumlular kullanarak gerçekleştirme Dört farklı durumu ifade etmek için iki D türü ikidurumlu S / S / S 3 / S 2 / 5 S 3/ S 2/ 6

2 2//2 m m m 3 m 2 m m m 3 m 2 m 4 m 5 m 7 m 6 D D (t+) = D (,, ) = Σ(3,5,7) (t+) = D (,, ) = Σ(,5,7) y(,, ) = Σ(6,7) 7 m m m 3 m 2 y 8 D D Clock Uyarma gereksinimi çizelgesi (ecitation tables)# Devre tasarımında D türü ikidurumlular kullanıldığında, giriş denklemleri doğrudan sonraki durumlardan hesaplanabilir. ncak JK ve T türü ikidurumlular kullanıldığında bu kolay yol izlenemez. u amaçla durum çizelgesi ve giriş denklemleri arasındaki fonksiyonel ilişkiyi gösteren ve uyarma gereksinimi çizelgesi (ecitation tables) olarak adlandırılan bir çizelge kullanılmalıdır. y 9 Uyarma gereksinimi çizelgesi (ecitation tables)# JK ve T tipi ikidurumlular için uyarma gereksinimi çizelgeleri JK türü ikidurumlular ile İkidurumlu girişleri, durum çizelgesi ve JK tipi ikidurumlunun uyarma gereksinimi çizelgesinden bulunur. 2 2

3 2//2 JK türü ikidurumlular ile m m m3 m2 m m m 3 m 2 X X X X JK türü ikidurumlular ile J X X X X m 4 m 5 m 7 m 6 K J m m m 3 m 2 X X K m m m 3 m 2 X X J m 4 m 5 m 2 m 6 X X X X K J K ( ) 3 Clock 4 T türü ikidurumlular ile 3 bit lik ikili sayaç Durum çizeneği: T türü ikidurumlular ile dan a olan değişimi incele m m m3 m2 2 T türü ikidurumlular ile T Clock 2 m m m 3 m 2 2 T 2 2 T T 2 m m m 3 m 2 2 T T u hafta# Dizisel devrelerin tasarımı Yazmaçlar Yazmaçların paralel yüklenmesi Kaydırma ve seri yükleme Seri toplama işlemi Evrensel kaydırma yazmacı Sayaçlar Dalgacık sayaçlar Zamanuyumlu sayaçlar Ve diğer sayaçlar

4 2//2 Yazmaçlar ve Sayaçlar# İkidurumlu içeren devreler, genellikle gerçekleştirdikleri işlem ile adlandırılırlar. Yazmaçlar Sayaçlar Yazmaçlar (Registers)# Yazmaç: ir grup ikidurumludan oluşur, herbiri bir bitlik bilgiyi saklayabilmektedir. İkidurumlulara ek olarak yazmaç işlemlerinin gerçekleştirilmesini sağlayan geçitler de içerebilirler. Genel tanımı: Yazmaçlar, bir grup iki durumlu ile onun işleyişini etkileyen geçitlerden oluşur: İkidurumlular ikili bilgiyi tutar Geçitler, bilginin yazmaca nasıl aktarılacağını belirler bitlik Yazmaç# Temel Yazmaç İşlemleri# Sadece ikidurumlulardan oluşur. 4 girişteki veri, saat vuruşunda yazmaca aktarılır. clear : tüm ikidurumlular zaman girişinden bağımsız olarak lanır (resetlenir). Yazmacın sıfırlanması / birlenmesi Yazmacın paralel yüklenmesi Yazmacın içeriğinin sağa/sola kaydırılması Yazmaçtaki ikili sayının tümlenmesi Yazmaçtaki ikili sayının arttırılması / azaltılması Yazmaçtaki ikili bilginin başka bir yazmaca aktarılması Yazmaçların Paralel Yüklenmesi (Parallel Load)# Yazmaçların Paralel Yüklenmesi# En çok kullanılan işlemlerden biridir. Yazmacın tüm hücreleri aynı anda paralel olarak yüklenir. Saat vuruşu geldiğinde, tüm ikidurumlular tetiklenir. Yazmacın içeriğinin değişmemesi için : girişler sabit kalmalı clock girişi engellenmeli pek önerilmez, sizce neden?

5 2//2 Kaydırma İşlemi (Shift)# 4-bit Kaydırma Yazmacı# Yazmacın içeriği bir sağa ya da sola kaydırılır. En sağ/sol hücrelerin durumu değişik özellikler gösterebilir : Sıfırlanır. Yeni değer alır. En sol/sağdaki hücrenin değerini alır. (döngülü kaydırma) Sağa kaydırma yapar. Serial output: en sağdaki ikidurumlunun çıkışıdır. Serial input: en soldaki ikidurumlunun girişidir Seri Yükleme (Serial Transfer)# Sayısal bir sistem, birim zamanda bir bitlik bilgiyi aktarıp işliyor ise, seri moddadır denir. Seri Yükleme# yazmacından yazmacına aktarma Parallel modda ir yazmacın tüm bitleri hazırdır ve ir saat vuruşunda tüm bitler aktarılır. Seri modda Yazmaçlar bir seri giriş ve çıkışa sahiptir. ilgi, birim zamanda bir bit olarak aktarılır Seri Toplama (Serial ddition)# Paralel Toplama (4.bölüm)! Sayısal bilgisayarlarda işlemler genellikle paralel olarak yapılmaktadır. (hız) Seri işlemler daha çok saat vuruşunda gerçekleştiğinden daha yavaştır. Fakat, Daha az donanın bileşeni ile gerçekleştirilebilirler

6 2//2 Seri Toplama-! Seri Toplama Durum Çizelgesi# 3 32 Seri Toplama-2# Evrensel Kaydırma Yazmacı# Tek yönlü kaydırma yazmacı (unidirectional) Çift yönlü kaydırma yazmacı (bidirectional) Evrensel kaydırma yazmacı Her iki yöne de kaydırma yapabiliyor. Paralel yükleme yeteneğine sahiptir Evrensel Kaydırma Yazmacı# u hafta# Dizisel devrelerin tasarımı Yazmaçlar Yazmaçların paralel yüklenmesi Kaydırma ve seri yükleme Seri toplama işlemi Evrensel kaydırma yazmacı Sayaçlar Dalgacık sayaçlar Zamanuyumlu sayaçlar Ve diğer sayaçlar

7 2//2 Sayaçlar (Counters)# Yazmaçların özel bir türüdür. Önceden belirlenmiş bir dizi ikili durumdan geçer. Sayaçlardaki geçitler, bu belirlenmiş durum dizisini oluşturacak şekilde bağlanmıştır. Değişik türleri vardır : Dalgacık sayaçları (ikili, CD) Zamanuyumlu sayaçlar (ikili, yukarı-aşağı, CD) Ring, Johnson,... Dalgacık Sayaçları (Ripple Counters)# İkidurumlunun durum değiştirip değiştirmemesi uyarma girişleri yerine saat vuruşları ile denetlenir. Tüm ikidurumlular aynı anda durum değiştirmeyebilir İkili Dalgacık Sayacı (inary Ripple Counter)# 4-bitlik İkili Dalgacık Sayacı# İkili sayı dizisini takip eden sayaçlara ikili sayaçlar denir. İkili Sayma Dizisi den e geciş: è è è 39 4 CD Dalgacık Sayacı# ir onlu sayaçtır. -9 arası 9 dan sonra tekrar a döner. 3 Onluk CD Sayacı (Three-Decade CD Counter)# Durum Çizeneği Q, Q2 ve Q8 in C girişine uygulanır. Q2, Q4 ün C girişine uygulanır

8 2//2 Zamanuyumlu Sayaçlar# Zamanuyumlu sayaçlarda, Saat girişi tüm ikidurumlulara uygulanır. Ortak bir saat tüm ikidurumluları tetikler. Dalgacık sayaçlarında birim anda ikidurumlu tetiklenir! İkili Sayaç# 3 2 = den e geçiş: -, her saat vuruşunda değer degiştirir. -, = olduğu için değer değiştirir. - 2, = oldugu için değer değiştirir. - 3, değer değiştirmez ( 2 =) bit Yukarı-şağı İkili Sayacı (4-it Up-Down inary Counter)# CD Sayacı Durum Çizelgesi# şağı sayma durumunda: daima değer değiştirir. Diğer konumlardaki bitlerin değeri sağındaki bitlerin değerleri ise değişir Paralel Yüklemeli İkili Sayaç # CD Sayacını Paralel Yüklemeli İkili Sayaç Kullanarak Elde Etmenin İki Yolu# 3 2 = ise Load =, Inputs = sayaca yüklenir 3 2 = ise Clear =, sayaç sıfırlanır

9 2//2 Kullanılmayan Durumlar ile Sayaç# Kullanılmayan Durumlar ile Sayaç# Devre tasarlandıktan sonra kullanılmayan durumlardaki değişimleri incelemek gerekir Halka Sayacı (Ring Counter)# Herhangi bir zamanda sadece bir iki durumlu set durumundadır. Johnson Sayacı# 2-bitlik bir sayaç ve bir kod çözücü kullanarak gerçekleştirilebilir

Ders hakkında" İletişim" Bu derste" Bellek" 12/3/12. BBM 231 Zamanuyumlu dizisel devreler (synchronous sequential logic)"

Ders hakkında İletişim Bu derste Bellek 12/3/12. BBM 231 Zamanuyumlu dizisel devreler (synchronous sequential logic) 2/3/2 ers hakkında" ykut Erdem aykut@cs.hacettepe.edu.tr Oda: el: 297 75 / 46 Ofis Saati: Carşamba 5:-6: M 23 Zamanuyumlu dizisel devreler (synchronous sequential logic)" etbook: Mano and Ciletti, igital

Detaylı

Bu derste! BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Yazmaç Aktarımı Düzeyi! Büyük Sayısal Sistemler! 12/25/12

Bu derste! BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Yazmaç Aktarımı Düzeyi! Büyük Sayısal Sistemler! 12/25/12 BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü Bu derste! Büyük, karmaşık sayısal sistemlerin tasarımı ele alınacaktır. ASM ve ASMD çizgeleri Tasarım Örnekleri

Detaylı

BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü

BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü BBM 231 Yazmaçların Aktarımı Seviyesinde Tasarım! Hacettepe Üniversitesi Bilgisayar Müh. Bölümü Bu derste! Büyük, karmaşık sayısal sistemlerin tasarımı ele alınacaktır. ASM ve ASMD çizgeleri Tasarım Örnekleri

Detaylı

BÖLÜM 10 KAYDEDİCİLER (REGİSTERS) SAYISAL TASARIM. Bu bölümde aşağıdaki konular anlatılacaktır

BÖLÜM 10 KAYDEDİCİLER (REGİSTERS) SAYISAL TASARIM. Bu bölümde aşağıdaki konular anlatılacaktır erin BÖLÜM 10 KYEİCİLER (REGİSTERS) Bu bölümde aşağıdaki konular anlatılacaktır Kaydedicilerin(Registers) bilgi giriş çıkışına göre ve kaydırma yönüne göre sınıflandırılması. Sağa kaydırmalı kaydedici(right

Detaylı

EEM122SAYISAL MANTIK SAYICILAR. Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol

EEM122SAYISAL MANTIK SAYICILAR. Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol EEM122SAYISAL MANTIK BÖLÜM 6: KAYDEDİCİLER VE SAYICILAR Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol KAYDEDİCİLER VE SAYICILAR Flip-flopkullanan devreler fonksiyonlarına göre iki guruba

Detaylı

7.Yazmaçlar (Registers), Sayıcılar (Counters)

7.Yazmaçlar (Registers), Sayıcılar (Counters) 7.Yazmaçlar (Registers), Sayıcılar (Counters) 7..Yazmaçlar Paralel Yüklemeli Yazmaçlar Ötelemeli Yazmaçlar 7.2.Sayıcılar Đkili Asenkron Sayıcılar (Binary Ripple Counter) Đkili Kodlanmış Onlu Asenkron Sayıcı

Detaylı

BÖLÜM 9 (COUNTERS) SAYICILAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır

BÖLÜM 9 (COUNTERS) SAYICILAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır SYISL ELETRONİ ÖLÜM 9 (OUNTERS) SYIILR u bölümde aşağıdaki konular anlatılacaktır Sayıcılarda Mod kavramı senkron sayıcılar senkron yukarı sayıcı (Up counter) senkron aşağı sayıcı (Down counter) senkron

Detaylı

Deney 6: Ring (Halka) ve Johnson Sayıcılar

Deney 6: Ring (Halka) ve Johnson Sayıcılar Deney 6: Ring (Halka) ve Johnson Sayıcılar Kullanılan Elemanlar xlm Entegresi, x0 kohm direnç, x00 kohm direnç, x0 µf elektrolitik kondansatör, x00 nf kondansatör, x 7HC7 (D flip-flop), x 0 ohm, x Led

Detaylı

Deney 3: Asenkron Sayıcılar

Deney 3: Asenkron Sayıcılar Deney 3: Asenkron Sayıcılar Sayıcılar hakkında genel bilgi sahibi olunması, asenkron sayıcıların kurulması ve incelenmesi Kullanılan Elemanlar 1xLM555 Entegresi, 1x10 kohm direnç, 1x100 kohm direnç, 1x10

Detaylı

SAYICILAR (COUNTERS) ASENKRON SAYICILAR 2 BİT ASENKRON SAYICI

SAYICILAR (COUNTERS) ASENKRON SAYICILAR 2 BİT ASENKRON SAYICI SAYIILAR (OUNTERS) Sayıcılar sayısal elektroniğin temel devreleridir. Sayıcılar istenilen aralıkta her saat darbesinde ileri veya geri doğru sayma yaparlar. Sayıcılar flip-flop kullanılarak yapılır, kullanılan

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 2 Kayar Yazaçlar 23.02.2015 Sayısal Tasarım 3 Kayar Yazacın Çalışma Şekilleri Kayar yazaç flip-flopların veri saklamak ve veri taşımak

Detaylı

TEKNOLOJİ FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI

TEKNOLOJİ FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI TEKNOLOJİ FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI DENEY FÖYÜ 1 EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI DENEY NO : 1 DENEYİN ADI : OSİLATÖR DEVRESİ Giriş

Detaylı

BBM 231 Zamanuyumlu dizisel devreler (synchronous sequential logic)" Hacettepe Üniversitesi Bilgisayar Müh. Bölümü

BBM 231 Zamanuyumlu dizisel devreler (synchronous sequential logic) Hacettepe Üniversitesi Bilgisayar Müh. Bölümü BBM 23 Zaanuyulu dizisel devreler (synchronous sequential logic)" Hacettepe Üniversitesi Bilgisayar Müh. Bölüü Ders hakkında" Aykut Erde aykut@cs.hacettepe.edu.tr Oda: Tel: 297 75 / 46 Ofis Saati: Carşaba

Detaylı

SAYICILAR. Tetikleme işaretlerinin Sayma yönüne göre Sayma kodlanmasına göre uygulanışına göre. Şekil 52. Sayıcıların Sınıflandırılması

SAYICILAR. Tetikleme işaretlerinin Sayma yönüne göre Sayma kodlanmasına göre uygulanışına göre. Şekil 52. Sayıcıların Sınıflandırılması 25. Sayıcı Devreleri Giriş darbelerine bağlı olarak belirli bir durum dizisini tekrarlayan lojik devreler, sayıcı olarak adlandırılır. Çok değişik alanlarda kullanılan sayıcı devreleri, FF lerin uygun

Detaylı

Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi

Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi Bu derste... BİL 201 Birleşimsel Mantık (Combinational Logic) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi Birleşimsel Devreler - Çözümlenmesi - Tasarımı Birleşimsel Devre Örnekleri - Yarım Toplayıcı

Detaylı

Bölüm 4 Ardışıl Lojik Devre Deneyleri

Bölüm 4 Ardışıl Lojik Devre Deneyleri Bölüm 4 Ardışıl Lojik Devre Deneyleri DENEY 4-1 Flip-Floplar DENEYİN AMACI 1. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop

Detaylı

Bölüm Bazı Temel Konseptler

Bölüm Bazı Temel Konseptler Bölüm 7 Bu ve bundan sonraki bölümde, makine komutlarını işleten ve diğer birimlerin faaliyetlerini düzenleyen işlem birimi üzerine yoğunlaşacağız. Bu birim genellikle Komut Seti Mimarisi (Instruction

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 1 Sayıcılar İkili (Binary) Sayma İkili (Binary) sayma 1 ve 0 ların belirli bir düzen içerisinde sıralanması ile yapılır. Her dört sayıda

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ 1 8. HAFTA ARDIŞIL DEVRE TASARIMLARI SAYICILAR ASENKRON SAYICILAR SENKRON SAYICILAR 2 ARDIŞIL DEVRELER Bileşik devrelere geri

Detaylı

SAYISAL ELEKTRONİK. Ege Ü. Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Ü. Ege MYO Mekatronik Programı SAYISAL ELEKTRONİK Ege Ü. Ege MYO Mekatronik Programı BÖLÜM 2 Sayı Sistemleri İkilik, Onaltılık ve İKO Sayılar İkilik Sayı Sistemi 3 Çoğu dijital sistemler 8, 16, 32, ve 64 bit gibi, 2 nin çift kuvvetleri

Detaylı

Sayıcılar n bitlik bir bilgiyi tutmanın yanısıra her saat çevriminde tuttukları değeri artıran veya azaltan ardışıl devrelerdir.

Sayıcılar n bitlik bir bilgiyi tutmanın yanısıra her saat çevriminde tuttukları değeri artıran veya azaltan ardışıl devrelerdir. Sayıcılar (Counters) Sayıcılar n bitlik bir bilgiyi tutmanın yanısıra her saat çevriminde tuttukları değeri artıran veya azaltan ardışıl devrelerdir. Genel olarak iki gruba ayrılır: Senkron sayıcılar Asenkron

Detaylı

BİL 201 Birleşimsel Mantık (Combinational Logic) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi

BİL 201 Birleşimsel Mantık (Combinational Logic) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi BİL 201 Birleşimsel Mantık (Combinational Logic) Bilgisayar Mühendisligi Bölümü Hacettepe Üniversitesi Birleşimsel Devreler - Çözümlenmesi - Tasarımı Bu derste... Birleşimsel Devre Örnekleri - Yarım Toplayıcı

Detaylı

Bölüm 7 Ardışıl Lojik Devreler

Bölüm 7 Ardışıl Lojik Devreler Bölüm 7 Ardışıl Lojik Devreler DENEY 7- Flip-Floplar DENEYİN AMACI. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop türlerinin

Detaylı

yaratırdı), sayma dizisi içinde, bir bit geçişini tetiklemek için kullanılabilecek, bazı diğer biçim düzenleri bulmak zorundayız:

yaratırdı), sayma dizisi içinde, bir bit geçişini tetiklemek için kullanılabilecek, bazı diğer biçim düzenleri bulmak zorundayız: Eşzamanlı Sayaçlar Bir eşzamanlı sayacın çıktı bit'leri, eşzamansız sayacın aksine, dalgacıklanma olmadan anlık durum değiştirirler. J-K ikidurumluluardan böyle bir sayaç devresi yapmanın tek yolu bütün

Detaylı

3.3. İki Tabanlı Sayı Sisteminde Dört İşlem

3.3. İki Tabanlı Sayı Sisteminde Dört İşlem 3.3. İki Tabanlı Sayı Sisteminde Dört İşlem A + B = 2 0 2 1 (Elde) A * B = Sonuç A B = 2 0 2 1 (Borç) A / B = Sonuç 0 + 0 = 0 0 0 * 0 = 0 0 0 = 0 0 0 / 0 = 0 0 + 1 = 1 0 0 * 1 = 0 0 1 = 1 1 0 / 1 = 0 1

Detaylı

Güz Y.Y. Lojik Devre Laboratuvarı Laboratuvar Çalışma Düzeni

Güz Y.Y. Lojik Devre Laboratuvarı Laboratuvar Çalışma Düzeni 2010-2011 Güz Y.Y. Lojik Devre Laboratuvarı Laboratuvar Çalışma Düzeni Deneyi hangi grubun hangi tarihte ve saatte yapacağı internet sayfasında (http://www.ce.yildiz.edu.tr/myindex.php?id=54) duyurulmuştur.

Detaylı

Veri haberleşmesinde hatalar

Veri haberleşmesinde hatalar Veri haberleşmesinde hatalar 1 Hata türleri Sayısal iletişimde hata, bitlerin alınması ve gönderilmesi sırasında oluşur. 1 gönderildiğine 0 algılanması, ayad 0 gönderildiğinde 1 algılamsaı İki genel hata

Detaylı

Bölüm 4 Ardışıl Lojik Devre Deneyleri

Bölüm 4 Ardışıl Lojik Devre Deneyleri Bölüm 4 Ardışıl Lojik Devre Deneyleri DENEY 4-1 Flip-Floplar DENEYİN AMACI 1. Kombinasyonel ve ardışıl lojik devreler arasındaki farkları ve çeşitli bellek birimi uygulamalarını anlamak. 2. Çeşitli flip-flop

Detaylı

Ardışıl Devre Sentezi (Sequential Circuit Design)

Ardışıl Devre Sentezi (Sequential Circuit Design) Ardışıl Devre Sentezi (Sequential Circuit Design) Ardışıl devre tasarımı prosedürü: Adım 1: Problemin tanımına uygun olarak durum tablosunu yapılır. Tablo şimdiki durumları, girişleri, gelecek durumları

Detaylı

1 ELEKTRONİK KAVRAMLAR

1 ELEKTRONİK KAVRAMLAR İÇİNDEKİLER VII İÇİNDEKİLER 1 ELEKTRONİK KAVRAMLAR 1 Giriş 1 Atomun Yapısı, İletkenler ve Yarı İletkenler 2 Atomun Yapısı 2 İletkenler 3 Yarı İletkenler 5 Sayısal Değerler (I/O) 8 Dalga Şekilleri 9 Kare

Detaylı

TEKNOLOJİ FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI

TEKNOLOJİ FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI TEKNOLOJİ FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ EET-206 SAYISAL ELEKTRONİK - II LABORATUVARI DENEY FÖYÜ 1 İÇİNDEKİLER Deney 1 OSİLATÖR DEVRESİ... 2 Deney 2 FLİP-FLOP LAR....... 6 Deney 3 FLİP-FLOP

Detaylı

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü MANTIK DEVRELERİ TASARIMI LABORATUVARI DENEY FÖYLERİ 2018 Deney 1: MANTIK KAPILARI VE

Detaylı

SAYI VE KODLAMA SİSTEMLERİ. Teknoloji Fakültesi/Bilgisayar Mühendisliği

SAYI VE KODLAMA SİSTEMLERİ. Teknoloji Fakültesi/Bilgisayar Mühendisliği SAYI VE KODLAMA SİSTEMLERİ Teknoloji Fakültesi/Bilgisayar Mühendisliği Neler Var? Sayısal Kodlar BCD Kodu (Binary Coded Decimal Code) - 8421 Kodu Gray Kodu Artı 3 (Excess 3) Kodu 5 de 2 Kodu Eşitlik (Parity)

Detaylı

GİRİŞ-ÇIKIŞ (INPUT / OUTPUT) ORGANİZASYONU

GİRİŞ-ÇIKIŞ (INPUT / OUTPUT) ORGANİZASYONU GİRİŞ-ÇIKIŞ (INPUT / OUTPUT) ORGANİZASYONU GİRİŞ / ÇIKIŞ ARA YÜZEYİ (I/O ARA YÜZEYİ) G/Ç ara yüzeyi bilgisayarda bulunan bilgilerin dış G/Ç aletleri arasında aktarmanın yapılması için bir yöntem sunar.

Detaylı

TEKNOLOJİ FAKÜLTESİ YMT-215 LOGIC CIRCUITS

TEKNOLOJİ FAKÜLTESİ YMT-215 LOGIC CIRCUITS TEKNOLOJİ FAKÜLTESİ YMT-215 LOGIC CIRCUITS 1 İÇİNDEKİLER Deney 1 SAYI SİSTEMLERİ... 2 Deney 2 LOJİK KAPILAR (VE/VEYA/DEĞİL)...... 7 Deney 3 LOJİK KAPILAR (VE DEĞİL / VEYA DEĞİL / ÖZEL VEYA / ÖZEL VEYA

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ Yrd. Doç. Dr. Mustafa Hikmet Bilgehan UÇAR 1 MANTIK DEVRELERİ Yrd. Doç. Dr. Mustafa Hikmet Bilgehan UÇAR Digital Electronics

Detaylı

BILGISAYAR ARITMETIGI

BILGISAYAR ARITMETIGI 1 BILGISAYAR ARITMETIGI Sayısal bilgisayarlarda hesaplama problemlerinin sonuçlandırılması için verileri işleyen aritmetik buyruklar vardır. Bu buyruklar aritmetik hesaplamaları yaparlar ve bilgisayar

Detaylı

Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ. MİB Yapısı. MİB in İç Yapısı. MİB Altbirimleri. MİB in İç Yapısı

Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ. MİB Yapısı. MİB in İç Yapısı. MİB Altbirimleri. MİB in İç Yapısı Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ Doç. Dr. Şule Gündüz Öğüdücü http://ninova.itu.edu.tr/tr/dersler/bilgisayar-bilisim-fakultesi/0/blg-1/ Merkezi İşlem Birimi (MİB): Bilgisayarın temel birimi

Detaylı

Bilgisayarların Gelişimi

Bilgisayarların Gelişimi Bilgisayarların Gelişimi Joseph Jacquard (1810) Bilgisayar tabanlı halı dokuma makinesi Delikli Kart (Punch Card) Algoritma ve Programlama 6 Bilgisayar Sistemi 1. Donanım fiziksel aygıtlardır. 2. Yazılım

Detaylı

18. FLİP FLOP LAR (FLIP FLOPS)

18. FLİP FLOP LAR (FLIP FLOPS) 18. FLİP FLOP LAR (FLIP FLOPS) Flip Flop lar iki kararlı elektriksel duruma sahip olan elektronik devrelerdir. Devrenin girişlerine uygulanan işarete göre çıkış bir kararlı durumdan diğer (ikinci) kararlı

Detaylı

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir.

Yrd.Doç.Dr. Celal Murat KANDEMİR. Kodlama (Coding) : Bir nesneler kümesinin bir dizgi (bit dizisi) kümesi ile temsil edilmesidir. Bilgisayar Mimarisi İkilik Kodlama ve Mantık Devreleri Yrd.Doç.Dr. Celal Murat KANDEMİR ESOGÜ Eğitim Fakültesi - BÖTE twitter.com/cmkandemir Kodlama Kodlama (Coding) : Bir nesneler kümesinin bir dizgi

Detaylı

SELÇUK ÜNĠVERSĠTESĠ MÜHENDĠSLĠK-MĠMARLIK FAKÜLTESĠ ELEKTRĠK-ELEKTRONĠK MÜHENDĠSLĠĞĠ BÖLÜMÜ LOJĠK DEVRE TASARIM DERS NOTLARI

SELÇUK ÜNĠVERSĠTESĠ MÜHENDĠSLĠK-MĠMARLIK FAKÜLTESĠ ELEKTRĠK-ELEKTRONĠK MÜHENDĠSLĠĞĠ BÖLÜMÜ LOJĠK DEVRE TASARIM DERS NOTLARI SELÇUK ÜNĠVERSĠTESĠ MÜHENDĠSLĠK-MĠMARLIK FAKÜLTESĠ ELEKTRĠK-ELEKTRONĠK MÜHENDĠSLĠĞĠ BÖLÜMÜ LOJĠK DEVRE TASARIM DERS NOTLARI Konya- 2012 i KONULAR 1. Ardışıl lojik devreler, senkron ardışıl lojik devreler

Detaylı

Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ. MİB Yapısı. MİB Altbirimleri. Durum Kütüğü. Yardımcı Kütükler

Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ. MİB Yapısı. MİB Altbirimleri. Durum Kütüğü. Yardımcı Kütükler Merkezi İşlem Birimi MİKROİŞLEMCİ SİSTEMLERİ Yrd. Doç. Dr. Şule Gündüz Öğüdücü Merkezi İşlem Birimi (MİB): Bilgisayarın temel birimi Hız Sözcük uzunluğu Buyruk kümesi Adresleme yeteneği Adresleme kapasitesi

Detaylı

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1 TEMEL LOJİK ELEMANLAR VE UYGULAMALARI DENEY SORUMLUSU Arş. Gör. Erdem ARSLAN Arş. Gör.

Detaylı

DVP-SV2 SERİSİ PLC YÜKSEK HIZLI PALS GİRİŞLERİ COUNTER TABLOSU

DVP-SV2 SERİSİ PLC YÜKSEK HIZLI PALS GİRİŞLERİ COUNTER TABLOSU DVP-SV2 SERİSİ PLC YÜKSEK HIZLI PALS GİRİŞLERİ COUNTER TABLOSU DELTA ES5 SERİSİ OPEN COLLECTOR ENCODER KABLO RENKLERİ KAHVERENGİ ENCODER BESLEMESİ (24VDC) MAVİ ENCODER BESLEMESİ (0VDC) SİYAH ENCODER OUTPUT

Detaylı

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9 İÇİNDEKİLER BÖLÜM 1 TEMEL LOJİK KAPI DENEYLERİ 1-1 Lojik ve Anahtara Giriş 1 1-2 Lojik Kapı Devreleri... 9 a. Diyot Lojiği (DL) devresi b. Direnç-Transistor Lojiği (RTL) devresi c. Diyot-Transistor Lojiği

Detaylı

Deney 5: Shift Register(Kaydırmalı Kaydedici)

Deney 5: Shift Register(Kaydırmalı Kaydedici) Deney 5: Shift Register(Kaydırmalı Kaydedici) Kullanılan Elemanlar 1xLM555 Entegresi, 1x10 kohm direnç, 1x100 kohm direnç, 1x10 µf elektrolitik kondansatör, 1x100 nf kondansatör, 2 x 74HC74 (D flip-flop),

Detaylı

Programlama Giriş. 17 Ekim 2015 Cumartesi Yrd. Doç. Dr. Mustafa YANARTAŞ 1

Programlama Giriş. 17 Ekim 2015 Cumartesi Yrd. Doç. Dr. Mustafa YANARTAŞ 1 17 Ekim 2015 Cumartesi Yrd. Doç. Dr. Mustafa YANARTAŞ 1 Ders Not Sistemi Vize : % 40 Final : % 60 Kaynaklar Kitap : Algoritma Geliştirme ve Programlama Giriş Yazar: Dr. Fahri VATANSEVER Konularla ilgili

Detaylı

Sayısal Devreler ve Sistemler (EE203) Ders Detayları

Sayısal Devreler ve Sistemler (EE203) Ders Detayları Sayısal Devreler ve Sistemler (EE203) Ders Detayları Ders Adı Ders Kodu Dönemi Ders Saati Uygulama Saati Laboratuar Saati Kredi AKTS Sayısal Devreler ve Sistemler EE203 Güz 3 0 2 4 6 Ön Koşul Ders(ler)i

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 12. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar REGİSTERS (KAYDEDİCİLER) Akümülatör (Accumulator-ACC) lü Paralel Toplayıcı Shift Register (Kayma Registeri)

Detaylı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 5 ADC, Analog Sayısal Dönüştürücüler Analog İşaretler Elektronik devrelerin giriş işaretlerinin büyük çoğunluğu analogtur. Günlük yaşantımızda

Detaylı

EGE ÜNİVERSİTESİ EGE MYO MEKATRONİK PROGRAMI

EGE ÜNİVERSİTESİ EGE MYO MEKATRONİK PROGRAMI EGE ÜNİVERSİTESİ EGE MYO MEKATRONİK PROGRAMI 23.02.2015 Yrd.Doç.Dr. Dilşad Engin PLC Ders Notları 2 PROGRAMLANABİLİR DENETLEYİCİLER NÜMERİK İŞLEME 23.02.2015 Yrd.Doç.Dr. Dilşad Engin PLC Ders Notları 3

Detaylı

MANTIK DEVRELERİ HALL, 2002) (SAYISAL TASARIM, ÇEVİRİ, LITERATUR YAYINCILIK) DIGITAL DESIGN PRICIPLES & PRACTICES (3. EDITION, PRENTICE HALL, 2001)

MANTIK DEVRELERİ HALL, 2002) (SAYISAL TASARIM, ÇEVİRİ, LITERATUR YAYINCILIK) DIGITAL DESIGN PRICIPLES & PRACTICES (3. EDITION, PRENTICE HALL, 2001) MANTIK DEVRELERİ DERSİN AMACI: SAYISAL LOJİK DEVRELERE İLİŞKİN KAPSAMLI BİLGİ SUNMAK. DERSİ ALAN ÖĞRENCİLER KOMBİNASYONEL DEVRE, ARDIŞIL DEVRE VE ALGORİTMİK DURUM MAKİNALARI TASARLAYACAK VE ÇÖZÜMLEMESİNİ

Detaylı

Temel Flip-Flop ve Saklayıcı Yapıları. Mikroişlemciler ve Mikrobilgisayarlar

Temel Flip-Flop ve Saklayıcı Yapıları. Mikroişlemciler ve Mikrobilgisayarlar Temel Flip-Flop ve Saklayıcı Yapıları 1 Sayısal alga Şekilleri 1 2 4 3 1. Yükselme Zamanı 2. Alçalma Zamanı 3. Sinyal Genişliği 4. Genlik (Amplitude) 2 Periot (T) : Tekrar eden bir sinyalin arka arkaya

Detaylı

ARDIŞIL DEVRELER (Sequential Circuits)

ARDIŞIL DEVRELER (Sequential Circuits) ayısal evreler (Lojik evreleri) AIŞIL EVELE (equential ircuits) ersin ilk bölümünde kombinezonsal (combinational) devreleri inceledik. Bu tür devrelerde çıkışın değeri o andaki girişlerin değerlerine bağlıdır.

Detaylı

Y.Doç.Dr.Tuncay UZUN 6. Ardışıl Lojik Devreler 2. Kombinezonsal devre. Bellek. Bellek nedir? Bir bellek şu üç önemli özelliği sağlamalıdır:

Y.Doç.Dr.Tuncay UZUN 6. Ardışıl Lojik Devreler 2. Kombinezonsal devre. Bellek. Bellek nedir? Bir bellek şu üç önemli özelliği sağlamalıdır: 6.ARDIŞIL LOJĐK DEVRELER 6.1.Ardışıl Lojik Devre Temelleri SR Tutucu Flip-Flop(FF) Saat, Kenar tetikleme D FF, JK FF, T FF 6.2.Ardışıl Devrelerin Analizi Moore modeli: Çıkışlar= f(şimdiki durum) Mealy

Detaylı

Şekil. 64 Kelimelik Yığıtın Blok Şeması

Şekil. 64 Kelimelik Yığıtın Blok Şeması 1 YIĞIT (STACK) KURULUMU Çoğu bilgisayarın MİB de yığıt veya LIFO (Last In First Out) bulunur. Yığıt bir bellek parçasıdır ve son depolanan bilgi ilk geri dönen bilgi olur. Yığıta aktarılan son bilgi yığıtın

Detaylı

SAYISAL MANTIK LAB. PROJELERİ

SAYISAL MANTIK LAB. PROJELERİ 1. 8 bitlik Okunur Yazılır Bellek (RAM) Her biri ayrı adreslenmiş 8 adet D tipi flip-flop kullanılabilir. RAM'lerde okuma ve yazma işlemleri CS (Chip Select), RD (Read), WR (Write) kontrol sinyalleri ile

Detaylı

NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ

NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ DENEY 1 Elektronik devrelerde sık sık karşımıza çıkan

Detaylı

KMU MÜHENDİSLİK FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ SAYISAL DEVRELER II LABORATUVARI DENEY 1 TOPLAYICILAR - ÇIKARICILAR

KMU MÜHENDİSLİK FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ SAYISAL DEVRELER II LABORATUVARI DENEY 1 TOPLAYICILAR - ÇIKARICILAR KMU MÜHENDİSLİK FAKÜLTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ SAYISAL DEVRELER II LABORATUVARI DENEY 1 TOPLAYICILAR - ÇIKARICILAR DENEY 1: TOPLAYICILAR- ÇIKARICILAR Deneyin Amaçları Kombinasyonel lojik devrelerden

Detaylı

Teorik Bilgi DENEY 7: ASENKRON VE SENKRON SAYICILAR

Teorik Bilgi DENEY 7: ASENKRON VE SENKRON SAYICILAR DENEY 7: ASENKRON VE SENKRON SAYICILAR Deneyin Amaçları Asenkron ve senkron sayıcı devre yapılarının öğrenilmesi ve deneysel olarak yapılması Deney Malzemeleri 74LS08 Ve Kapı Entegresi (1 Adet) 74LS76

Detaylı

BÖLÜM 8 MANDAL(LATCH) VE FLİP-FLOPLAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır

BÖLÜM 8 MANDAL(LATCH) VE FLİP-FLOPLAR SAYISAL ELEKTRONİK. Bu bölümde aşağıdaki konular anlatılacaktır AYIAL ELETONİ BÖLÜM 8 MANAL(LATCH) VE FLİP-FLOPLA Bu bölümde aşağıdaki konular anlatılacaktır Mandallar(Latches),- Mandalı, Mandalı ontak sıçramasının mandallar yardımı ile engellenmesi Flip-Floplar,-

Detaylı

DENEY 2- Sayıcılar. 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi.

DENEY 2- Sayıcılar. 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi. DENEY 2- Sayıcılar DENEY 2- JK Flip-Flop Devreleri DENEYİN AMACI 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi. GENEL BİLGİLER Sayıcılar flip-floplar

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SYISL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı ÖLÜM ileşimsel Mantık Devreleri Yarım Toplayıcı İkili toplama işleini yapan devreye yarım toplayıcı adı verilir. Yarım toplayıcı girişlerine

Detaylı

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY RAPORU. Deney No: 3 FF Devreleri

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY RAPORU. Deney No: 3 FF Devreleri TEKNOLOJİ FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY RAPORU Deney No: 3 FF Devreleri Yrd. Doç Dr. Ünal KURT Yrd. Doç. Dr. Hatice VURAL Arş. Gör. Ayşe AYDIN YURDUSEV

Detaylı

DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler

DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler DENEY 2a- JK Flip-Flop Devreleri DENEYİN AMACI 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi. GENEL

Detaylı

25. Aşağıdaki çıkarma işlemlerini doğrudan çıkarma yöntemi ile yapınız.

25. Aşağıdaki çıkarma işlemlerini doğrudan çıkarma yöntemi ile yapınız. BÖLÜM. Büyüklüklerin genel özellikleri nelerdir? 2. Analog büyüklük, analog işaret, analog sistem ve analog gösterge terimlerini açıklayınız. 3. Analog sisteme etrafınızdaki veya günlük hayatta kullandığınız

Detaylı

Bu deney çalışmasında kombinasyonel lojik devrelerden decoder incelenecektir.

Bu deney çalışmasında kombinasyonel lojik devrelerden decoder incelenecektir. 4.1 Ön Çalışması Deney çalışmasında yapılacak uygulamaların benzetimlerini yaparak, sonuçlarını ön çalışma raporu olarak hazırlayınız. 4.2 Deneyin Amacı MSI lojik elemanları yardımıyla kombinasyonel lojik

Detaylı

T.C. MİLLÎ EĞİTİM BAKANLIĞI BİLİŞİM TEKNOLOJİLERİ SAYICILAR 523EO0044

T.C. MİLLÎ EĞİTİM BAKANLIĞI BİLİŞİM TEKNOLOJİLERİ SAYICILAR 523EO0044 T.C. MİLLÎ EĞİTİM BAKANLIĞI BİLİŞİM TEKNOLOJİLERİ SAYICILAR 523EO0044 Ankara, 2011 Bu modül, mesleki ve teknik eğitim okul/kurumlarında uygulanan Çerçeve Öğretim Programlarında yer alan yeterlikleri kazandırmaya

Detaylı

BLM 221 MANTIK DEVRELERİ

BLM 221 MANTIK DEVRELERİ 9. HAFTA BLM 221 MANTIK DEVRELERİ Prof Dr Mehmet AKBABA mehmetakbaba@karabuk.edu.tr Temel Kavramlar FLIP FLOPS S-R: Set-Reset Latch (Tutucu) Tetiklemeli D Latch (Tutucu) Kenar Tetiklemeli D Flip-Flop S-R

Detaylı

DENEY 8- Flip Flop ve Uygulamaları. Amaç: - Flip Flop çalışma mantığını kavramak

DENEY 8- Flip Flop ve Uygulamaları. Amaç: - Flip Flop çalışma mantığını kavramak DENEY 8- Flip Flop ve Uygulamaları Amaç: - Flip Flop çalışma mantığını kavramak Deneyin Yapılışı: - Deney bağlantı şemasında verilen devreleri uygun elemanlarla kurunuz. Entegrenin besleme ve GND bağlantılarını

Detaylı

1. LİNEER PCM KODLAMA

1. LİNEER PCM KODLAMA 1. LİNEER PCM KODLAMA 1.1 Amaçlar 4/12 bitlik lineer PCM kodlayıcısı ve kod çözücüsünü incelemek. Kuantalama hatasını incelemek. Kodlama kullanarak ses iletimini gerçekleştirmek. 1.2 Ön Hazırlık 1. Kuantalama

Detaylı

Aşağıdaki uygulama faaliyetini yaparak asenkron yukarı sayıcıdevresini kurabileceksiniz.

Aşağıdaki uygulama faaliyetini yaparak asenkron yukarı sayıcıdevresini kurabileceksiniz. Aşağıdaki uygulama faaliyetini yaparak asenkron yukarı sayıcıdevresini kurabileceksiniz. Şekil1.17: Asenkron yukarı sayıcıdevresi 7476 entegreli asenkron yukarısayıcı Devrenin sağlıklı çalışabilmesi için

Detaylı

DENEY 1 BOOLEAN CEBİRİ TEMEL İŞLEMLERİ

DENEY 1 BOOLEAN CEBİRİ TEMEL İŞLEMLERİ Sayısal Elektronik aboratuvarı DENEY 1 BOOEAN CEBİRİ TEME İŞEMERİ Boolean cebiri, George Boole (1815-1864) tarafından mantık problemlerini çözmek amacıyla geliştirilmiştir. 1983 yılında Claude Shannon

Detaylı

T.C. BOZOK ÜNİVERSİTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ LOJĐK DEVRELER LABORATUARI DENEY FÖYÜ

T.C. BOZOK ÜNİVERSİTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ LOJĐK DEVRELER LABORATUARI DENEY FÖYÜ T.C. BOZOK ÜNİVERSİTESİ ELEKTRİK ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ LOJĐK DEVRELER LABORATUARI DENEY FÖYÜ Haziran 2009 ĐÇĐNDEKĐLER Deney-1 Temel Kapı Devreleri. 1 1.1 Ön Çalışma. 1 1.2 Deneyin Amacı 1 1.3

Detaylı

BİLİŞİM TEKNOLOJİLERİ ALANI

BİLİŞİM TEKNOLOJİLERİ ALANI T.C. MİLLÎ EĞİTİM BAKANLIĞI BİLİŞİM TEKNOLOJİLERİ ALANI SAYICILAR Ankara, 2014 Bu modül, mesleki ve teknik eğitim okul/kurumlarında uygulanan Çerçeve Öğretim Programlarında yer alan yeterlikleri kazandırmaya

Detaylı

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ 1 7. HAFTA Flip-Floplar RS Flip Flop, Tetiklemeli RS Flip Flop, JK Flip Flop, D Tipi Flip Flop, T Tipi Flip Flop Tetikleme

Detaylı

ĐŞARET ĐŞLEME (SIGNAL PROCESSING)

ĐŞARET ĐŞLEME (SIGNAL PROCESSING) ĐŞARET ĐŞLEME (SIGNAL PROCESSING) Modern ölçme sistemlerinde Đşaret Đşleme bloğunun yerini çoğunlukla bir PC almıştır. Söz konusu bloğun en önemli fonksiyonu, ölçülen fiziksel büyüklük elektriksel işarete

Detaylı

T.C. MİLLİ EĞİTİM BAKANLIĞI MEGEP (MESLEKÎ EĞİTİM VE ÖĞRETİM SİSTEMİNİN GÜÇLENDİRİLMESİ PROJESİ) BİLİŞİM TEKNOLOJİLERİ SAYICILAR

T.C. MİLLİ EĞİTİM BAKANLIĞI MEGEP (MESLEKÎ EĞİTİM VE ÖĞRETİM SİSTEMİNİN GÜÇLENDİRİLMESİ PROJESİ) BİLİŞİM TEKNOLOJİLERİ SAYICILAR T.C. MİLLİ EĞİTİM BAKANLIĞI MEGEP (MESLEKÎ EĞİTİM VE ÖĞRETİM SİSTEMİNİN GÜÇLENDİRİLMESİ PROJESİ) BİLİŞİM TEKNOLOJİLERİ SAYICILAR ANKARA 28 Milli Eğitim Bakanlığı tarafından geliştirilen modüller; Talim

Detaylı

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK

27.10.2011 HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK Mikroişlemci HAFTA 1 HAFIZA BİRİMLERİ Program Kodları ve verinin saklandığı bölüm Kalıcı Hafıza ROM PROM EPROM EEPROM FLASH UÇUCU SRAM DRAM DRRAM... ALU Saklayıcılar Kod Çözücüler... GİRİŞ/ÇIKIŞ G/Ç I/O

Detaylı

BM-311 Bilgisayar Mimarisi

BM-311 Bilgisayar Mimarisi 1 BM-311 Bilgisayar Mimarisi Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü Konular Operand türleri Assembly dili 2 İşlemcinin yapacağı iş makine komutlarıyla belirlenir. İşlemcinin

Detaylı

DENEY 5 RS FLİP-FLOP DENEYLERİ

DENEY 5 RS FLİP-FLOP DENEYLERİ Adı Soyadı: No: Grup: DENEY 5 RS FLİP-FLOP DENEYLERİ ÖN BİLGİ : Sayısal bilgiyi ( "0" veya "1" ) depolamada ve işlemede kullanılan temel devrelerden biri de F-F lardır. Genel olarak dört tipi vardır: 1-

Detaylı

Bit, Byte ve Integer. BIL-304: Bilgisayar Mimarisi. Dersi veren öğretim üyesi: Dr. Öğr. Üyesi Fatih Gökçe

Bit, Byte ve Integer. BIL-304: Bilgisayar Mimarisi. Dersi veren öğretim üyesi: Dr. Öğr. Üyesi Fatih Gökçe Bit, Byte ve Integer BIL-304: Bilgisayar Mimarisi Dersi veren öğretim üyesi: Dr. Öğr. Üyesi Fatih Gökçe Ders kitabına ait sunum dosyalarından adapte edilmiştir: http://csapp.cs.cmu.edu/ Adapted from slides

Detaylı

Kodlama ve Kodlar - (Coding and Codes) Sakarya Üniversitesi

Kodlama ve Kodlar - (Coding and Codes) Sakarya Üniversitesi Kodlama ve Kodlar - (Coding and Codes) Sakarya Üniversitesi Kodlama ve Kodlar - İçerik Sayısal Kodlar BCD Kodu (Binary Coded Decimal Code) - 8421 Kodu Gray Kodu Artı 3 (Excess 3) Kodu 5 de 2 Kodu: Eşitlik

Detaylı

T.C. MİLLÎ EĞİTİM BAKANLIĞI

T.C. MİLLÎ EĞİTİM BAKANLIĞI T.C. MİLLÎ EĞİTİM BAKANLIĞI MEGEP (MESLEKÎ EĞİTİM VE ÖĞRETİM SİSTEMİNİN GÜÇLENDİRİLMESİ PROJESİ) ELEKTRİK ELEKTRONİK TEKNOLOJİSİ LOJİK UYGULAMALAR 3 ANKARA 2007 Milli Eğitim Bakanlığı tarafından geliştirilen

Detaylı

BİL 201 Geçit düzeyinde yalınlaştırma (Gate-Level Minimization) Hacettepe Üniversitesi Bilgisayar Müh. Bölümü

BİL 201 Geçit düzeyinde yalınlaştırma (Gate-Level Minimization) Hacettepe Üniversitesi Bilgisayar Müh. Bölümü BİL 2 Geçit düzeyinde yalınlaştırma (Gate-Level Minimization) Hacettepe Üniversitesi Bilgisayar Müh. Bölümü Boole Cebiri ve Temel Geçitler Boole cebiri (Boolean algebra ) Boole işlevleri (Boolean functions)

Detaylı

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits)

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits) SE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates nd Logic Circuits) Sakarya Üniversitesi Lojik Kapılar - maçlar Lojik kapıları ve lojik devreleri tanıtmak Temel işlemler olarak VE,

Detaylı

ELEKTRİK-ELEKTRONİK TEKNOLOJİSİ

ELEKTRİK-ELEKTRONİK TEKNOLOJİSİ T.C. MİLLÎ EĞİTİM BAKANLIĞI ELEKTRİK-ELEKTRONİK TEKNOLOJİSİ SAYICI VE KAYDEDİCİ DEVRELERİ 522EE0257 Ankara, 2012 Bu modül, mesleki ve teknik eğitim okul/kurumlarında uygulanan Çerçeve Öğretim Programlarında

Detaylı

KASIRGA 4. GELİŞME RAPORU

KASIRGA 4. GELİŞME RAPORU KASIRGA 4. GELİŞME RAPORU 14.07.2008 Ankara İçindekiler İçindekiler... 2 Giriş... 3 Kasırga Birimleri... 3 Program Sayacı Birimi... 3 Bellek Birimi... 3 Yönlendirme Birimi... 4 Denetim Birimi... 4 İşlem

Detaylı

Deney 2: Flip-Floplar

Deney 2: Flip-Floplar Deney 2: Flip-Floplar Bu deneyde, çeşitli flip-flop devreleri kurulacak ve incelenecektir. Kullanılan Elemanlar 1 x 74HC00 (NAND kapısı) 1 x 74HC73 (JK flip-flop) 1 x 74HC74 (D flip-flop) 4 x 4,7 kohm

Detaylı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı SAYISAL ELEKTRONİK Ege Üniversitesi Ege MYO Mekatronik Programı BÖLÜM 1 Sayısal Kavramlar Analog ve Sayısal Sistemler 3 Gününüzde bir çok elektronik sistem sayısal ve analog devrelerin birleşiminden oluşur.

Detaylı

Halkalı Sayaçlar 1000

Halkalı Sayaçlar 1000 Halkalı Sayaçlar Bir kaydıran yazmacın çıkışı girişine verilirse halkalı sayaçlar oluşur. Sayaçtaki veri deseni saat darbeleri uygulandığı sürece dolanacaktır. Mesela aşağıdaki şekilde veri deseni kendini

Detaylı

BİLGİSAYAR MİMARİSİ. Bilgisayar Bileşenleri Ve Programların Yürütülmesi. Özer Çelik Matematik-Bilgisayar Bölümü

BİLGİSAYAR MİMARİSİ. Bilgisayar Bileşenleri Ve Programların Yürütülmesi. Özer Çelik Matematik-Bilgisayar Bölümü BİLGİSAYAR MİMARİSİ Bilgisayar Bileşenleri Ve Programların Yürütülmesi Özer Çelik Matematik-Bilgisayar Bölümü Program Kavramı Bilgisayardan istenilen işlerin gerçekleştirilebilmesi için gereken işlem dizisi

Detaylı

Algoritmalar. Arama Problemi ve Analizi. Bahar 2016 Doç. Dr. Suat Özdemir 1

Algoritmalar. Arama Problemi ve Analizi. Bahar 2016 Doç. Dr. Suat Özdemir 1 Algoritmalar Arama Problemi ve Analizi Bahar 2016 Doç. Dr. Suat Özdemir 1 Arama Problemi Sıralama algoritmaları gibi arama algoritmaları da gerçek hayat bilgisayar mühendisliği problemlerinin çözümünde

Detaylı

Yrd. Doç. Dr. Caner ÖZCAN

Yrd. Doç. Dr. Caner ÖZCAN Yrd. Doç. Dr. Caner ÖZCAN İkilik Sayı Sistemi İkilik sayı sisteminde 0 lar ve 1 ler bulunur. Bilgisayar sistemleri yalnızca ikilik sayı sistemini kullanır. ( d 4 d 3 d 2 d 1 d 0 ) 2 = ( d 0. 2 0 ) + (

Detaylı

(I) şimdiki. durum (S) belleği. saat. girşi

(I) şimdiki. durum (S) belleği. saat. girşi ers Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans: http://creativecommons.org/licenses/by-nc-nd/3.0/ Eşzamanlı (Senkron) Ardışıl evreler (Synchronous Sequential Circuits) Ardışıl (sequential)

Detaylı

BÖLÜM 2 SAYI SĐSTEMLERĐ (NUMBER SYSTEMS)

BÖLÜM 2 SAYI SĐSTEMLERĐ (NUMBER SYSTEMS) ÖLÜM ĐÇĐNDEKĐLER -sayı sistemleri 2-kodlama ve kodlar 3-boolean kuralları 4-lojik kapılar,lojik devreler 5-karnaugh haritaları 6-sayısal entereler 7-birleşik mantık devreleri 8-multi vibratörler ve flip-floplar

Detaylı

BÖL-1B. Fatih University- Faculty of Engineering- Electric and Electronic Dept.

BÖL-1B. Fatih University- Faculty of Engineering- Electric and Electronic Dept. SAYISAL DEVRE TASARIMI EEM122 Ref. Morris MANO & Michael D. CILETTI SAYISAL TASARIM 4. Baskı BÖL-1B Fatih University- Faculty of Engineering- Electric and Electronic Dept. İŞARETLİ SAYILAR Bilgisayar gibi

Detaylı

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik

DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ. İçerik DERS 3 MİKROİŞLEMCİ SİSTEM MİMARİSİ İçerik Mikroişlemci Sistem Mimarisi Mikroişlemcinin yürüttüğü işlemler Mikroişlemci Yol (Bus) Yapısı Mikroişlemci İç Veri İşlemleri Çevresel Cihazlarca Yürütülen İşlemler

Detaylı

ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 4 DENEYİN ADI: JK, RS, T VE D TİPİ FLİP-FLOPLARIN İNCELENMESİ

ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 4 DENEYİN ADI: JK, RS, T VE D TİPİ FLİP-FLOPLARIN İNCELENMESİ ELK2016 SAYISAL TASARIM DERSİ LABORATUVARI DENEY NO: 4 DENEYİN ADI: JK, RS, T VE D TİPİ FLİP-FLOPLARIN İNCELENMESİ Açıklamalar: Bu deneyde JK, RS, T ve D tipi flip-flop (FF) lar incelenecektir. Deney içerisinde

Detaylı