SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

Benzer belgeler
Sahada Programlanabilir Kapı Dizileri (FPGA) Sayısal CMOS Tümdevre Tasarımı Y. Fırat Kula

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

FPGA ile Gömülü Sistem Tasarımına Giriş

VHDL DONANIM TANIMLAMA DİLİD ve FPGA, CPLD DONANIMLARI. Yard. Doç. Dr. Özdemir ÇETİN

VHDL Programlama Dili ve Sayısal Elektronik Devrelerin FPGA Tabanlı Uygulaması

Bölüm 4 Aritmetik Devreler

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ BLGM223 SAYISAL MANTIK TASARIMI : QUARTUS II TASARIM ORTAMI: TEMEL VHDL KULLANIMI

FPGA ile Gömülü Sistem Tasarımına Giriş Bilgisayar Bil. Müh. Selçuk BAŞAK

FPGA Mimarisi. Bilgisayar Mimarisinde Yeni Yaklaşımlar. Mehmet AKTAŞ

Digital Design HDL. Dr. Cahit Karakuş, February-2018

HDL Dilleri VHDL. Son olarak, spesifik ASIC teknolojisi için devrenin yerleşimi netlist tanımlamalarından gelen diğer araçlarla oluşturulmuş olunur.

SAYISAL VLSI SİSTEM TASARIM AKIŞI

Programlanabilir Devreler

VHDL. Ece Olcay Güneş & S. Berna Örs

Teorik Bilgi DENEY 7: ASENKRON VE SENKRON SAYICILAR

Deney 6: Ardışıl Devre Analizi

Bölüm 2 Kombinasyonel Lojik Devreleri

BLM 221 MANTIK DEVRELERİ

FPGA ile Kablosuz Görüntü Aktarımı. Yusuf Onur Koçberber

SAYISAL TASARIM. Ege Üniversitesi Ege MYO Mekatronik Programı

APPLICATION OF CPLD BASED LOGIC ELECTRONICS CIRCUITS

1. GİRİŞ. 1.1 Giriş ve çalışmanın amacı

BÖLÜM 2 SAYI SİSTEMLERİ

Temel Mikroişlemci Tabanlı Bir Sisteme Hata Enjekte Etme Yöntemi Geliştirilmesi. Buse Ustaoğlu Berna Örs Yalçın

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

T.C. TRAKYA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ŞİFRELEME İŞLEMLERİ İÇİN FPGA İLE YÜKSEK KAPASİTELİ ÇARPMA DEVRESİ TASARIMI.

Bölüm 4 Ardışıl Lojik Devre Deneyleri

DENEY 2- Sayıcılar ve Kaydırmalı Kaydediciler

Bölüm 20 FBs-4A2D Analog Giriş/Çıkış Modülü

MĐKROĐŞLEMCĐLĐ FONKSĐYON ÜRETECĐ

ELM320- ENTEGRE DEVRE TASARIMI

DOĞU AKDENİZ ÜNİVERSİTESİ BİLGİSAYAR MÜHENDİSLİĞİ BÖLÜMÜ (TÜRKÇE) BLGM223 SAYISAL MANTIK TASARIMI

Altera ile proje hazırlama. Quarturs programı üzerinde Altera De0 kartı için proje hazırlama

PIC MİKROKONTROLÖR TABANLI MİNİ-KLAVYE TASARIMI

LAB 0 : Xilinx ISE Kullanımı

William Stallings Computer Organization and Architecture 9 th Edition

Eğitim Amaçlı Temel Bir Mikrobilgisayarın Tasarlanması ve Gerçeklemesi Design and Implementation of a Basic Microcomputer for Educational Purpose

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

DENEY 2- Sayıcılar. 1. Sayıcıların prensiplerinin ve sayıcıların JK flip-flopları ile nasıl gerçeklendiklerinin incelenmesi.

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

Verilog HDL e Giriş Bilg. Yük. Müh. Selçuk BAŞAK

Deney 6: Ring (Halka) ve Johnson Sayıcılar

XILINX ISE WEBPACK 14.7 UYGULAMA TUTORIAL

1. GİRİŞ 1.1. Giriş ve Çalışmanın Amacı

Mantık Devreleri Laboratuarı

İ.T.Ü. Eğitim Mikrobilgisayarının Tanıtımı

BSE 207 Mantık Devreleri Lojik Kapılar ve Lojik Devreler (Logic Gates And Logic Circuits)

Bölüm 7 Ardışıl Lojik Devreler

T.C. KOCAELİ ÜNİVERSİTESİ TEKNOLOJİ FAKÜLTESİ BİLİŞİM SİSTEMLERİ MÜHENDİSLİĞİ

SAYISAL ELEKTRONİK. Ege Üniversitesi Ege MYO Mekatronik Programı

Muhasebe Entegrasyon Tanımlarının Yapılması. Stok Programından Yapılan Muhasebe Entegrasyon Tanımları

T.C. RC SERVO MOTOR KONTROLÜ

İ.T.Ü. Bilişim Enstitüsü Bilgisayar Bilimleri FPGA MİMARİSİ

Bu deney çalışmasında kombinasyonel lojik devrelerden decoder incelenecektir.

6. Fiziksel gerçeklemede elde edilen sonuç fonksiyonlara ilişkin lojik devre şeması çizilir.

FGPA ile Gömülü Sistem Tasarımına Giriş Introduction to Embeded System Design Using FPGA

Proje #2 - Lojik Devre Benzetimi

1. Sayıcıların çalışma prensiplerini ve JK flip-floplarla nasıl gerçekleştirileceğini anlamak. 2. Asenkron ve senkron sayıcıları incelemek.

GÖMÜLÜ SİSTEMLER GÖMÜLÜ SİSTEMLER 1

Bölüm 8 Ardışıl Lojik Devre Uygulamaları

XILINX PROGRAMI İLE PROJE HAZIRLANMASI İÇİNDEKİLER

PROGRAMLAMAYA GİRİŞ. Öğr. Gör. Ayhan KOÇ. Kaynak: Algoritma Geliştirme ve Programlamaya Giriş, Dr. Fahri VATANSEVER, Seçkin Yay.

BÖLÜM Mikrodenetleyicisine Giriş

EEM122SAYISAL MANTIK SAYICILAR. Elektrik Elektronik Mühendisliği Yrd. Doç. Dr. Hüseyin Sağkol

BM-311 Bilgisayar Mimarisi

SAYISAL UYGULAMALARI DEVRE. Prof. Dr. Hüseyin EKİZ Doç. Dr. Özdemir ÇETİN Arş. Gör. Ziya EKŞİ

2. SAHADA PROGRAMLANABİLİR KAPI DİZİLERİ (FPGA)

HDL ile Gelişmiş Sayısal Tasarım (EE 425) Ders Detayları

BM-311 Bilgisayar Mimarisi

KOCAELİ ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ

HAFTA 1 KALICI OLMAYAN HAFIZA RAM SRAM DRAM DDRAM KALICI HAFIZA ROM PROM EPROM EEPROM FLASH HARDDISK

DOĞRULUK TABLOLARI (TRUTH TABLE)

Bölüm 6 Multiplexer ve Demultiplexer

OMNET Ağ Benzetim Yazılımı (Network Simulation Framework) BİL 372 Bilgisayar Ağları. GYTE - Bilgisayar Mühendisliği Bölümü

T.C. TRAKYA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ŞİFRELEME ALGORİTMASININ FPGA'DA UYGULANMASI. Yasin AKMAN YÜKSEK LİSANS TEZİ

HSancak Nesne Tabanlı Programlama I Ders Notları

Görsel Programlama DERS 03. Görsel Programlama - Ders03/ 1

BM-311 Bilgisayar Mimarisi. Hazırlayan: M.Ali Akcayol Gazi Üniversitesi Bilgisayar Mühendisliği Bölümü

Yazılan programın simülasyonu için; (A<B), (A>B) ve (A=B) durumunu sağlayacak 2 şer tane değeri girerek modelsimde oluşan sonuçları çiziniz.

NECMETTİN ERBAKAN ÜNİVERSİTESİ MÜHENDİSLİK MİMARLIK FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ SAYISAL DEVRE TASARIMI LABORATUVARI DENEY FÖYÜ

T.C. İstanbul Medeniyet Üniversitesi Mühendislik ve Doğa Bilimleri Fakültesi Elektrik-Elektronik Mühendisliği Bölümü

Sinirsel Benzetim ve NSL. İlker Kalaycı 06, 2008

Yarım toplayıcının fonksiyonelliği ile 4 x 2 bit ROM hafıza(çok küçük bir hafıza) programlandığının bir örneğini düşünelim:

Genişletme modülleri EM-TRF-USV. EASYLAB'in 230 V şebekeye bağlantısı ve kesintisiz güç kaynağı için K /2012 DE/tr

Deney 2: Flip-Floplar

İÇİNDEKİLER 1. KLAVYE KLAVYE RB KLAVYE RBHIGH DİSPLAY... 31

Fatih Üniversitesi. İstanbul. Haziran Bu eğitim dokümanlarının hazırlanmasında SIEMENS ve TEKO eğitim dokümanlarından faydalanılmıştır.

Hamming Kodlamasının FPGA Ortamında Gerçekleştirilmesi

BİLGİSAYAR MİMARİSİ. İkili Kodlama ve Mantık Devreleri. Özer Çelik Matematik-Bilgisayar Bölümü

MİKROİŞLEMCİ İLE A/D DÖNÜŞÜMÜ

BENZERSİZ SORUNLARA BENZERSİZ ÇÖZÜMLER

Ders Notlarının Creative Commons lisansı Feza BUZLUCA ya aittir. Lisans:

İnönü Üniversitesi Mühendislik Fakültesi Bilgisayar Mühendisliği Bölümü

(Random-Access Memory)

İÇİNDEKİLER. 1-1 Lojik ve Anahtara Giriş Lojik Kapı Devreleri... 9

T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRONİK SİSTEMLER LABORATUVARI 1

İçindekiler FPGA GELİŞTİRME KARTI ENERJİ BESLEMESİ:... 5 ENERJİ SİSTEMİ ŞEMASI:... 5 FPGA GELİŞTİRME KARTINA PROGRAM YÜKLEME:... 6

ERCİYES ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTUSÜ BİLGİSAYAR MÜHENDİSLİĞİ ANABİLİMDALI. I. GENEL BİLGİLER Ders Adı

DENEY 1a- Kod Çözücü Devreler

Sayısal Devreler ve Sistemler (EE203) Ders Detayları

Transkript:

SAYISAL TASARIM Ege Üniversitesi Ege MYO Mekatronik Programı

BÖLÜM 4 Programlanabilir Mantık Elemanları

Programlanabilir mantık aygıtları (Programmable Logic Devices), PLD mantık geçitleri ve flip-floplar içeren ve bu elemanları yazılım ile programlayarak istenilen mantık işlemini gerçekleyen tümdevrelere verilen isimdir. Yaygın bilinen PLD çeşitleri; SPLD: (Simple PLDs) ilk üretilen ve içerisinde kısıtlı sayıda mantık geçidi içeren PLD lerdir. PAL ve GAL her ikisi SPLD dir. CPLD: (Complex PLDs) tümdevre içerisine birden fazla SPLD ve bunları birbirine bağlayacak düzenekler yerleştirillen PLD lerdir. FPLD: (Field Programmable Gate Array) bağlantı seçeneği ve kapasitesi daha fazla olan PLD lerdir.

PLD Kullanmanın avantajları Baskıdevre kartını basitleştirir Güç tüketimi azalır Kart boyutu küçülür. Üretim sonrası test sürecini basitleştirir Güvenirliği yükseltir. Tasarımda esneklik sağlar.

İki önemli SPLD çeşidi PAL ler (Programmable Array Logic) ve GAL lerdir (Generic Array Logic). Dizge (array) satır ve sütun şeklinde düzenlenmiş hatlardan oluşur, satırların ucu AND geçitlerine sütünların ucu ise girişlere bağlıdır. PAL ler sadece bir defa programlanabilen (OTP) dizgeye sahiptir. Satır ve sütün arasındaki sigortalar kalıcı olarak yakıldığında giriş terimleri AND lenir. A A B B Basit AND-OR dizgesi X

PAL ler özel programlayıcılar ile seçilen sigortaların yakılması ile programlanır. A A B B Dizgede gerçekleştirilen işlem nedir? X X = AB + AB XOR işlemi yapar.

GAL ler GAL (Generic Array Logic) yapı olarak PAL lere benzer fakat tekrar programlanabilir bir yapıya sahiptir. Aslında PAL lerden tek farkı satır ile sütün arasında yer alan sigortaların yapısıdır. GAL ler Lattice Semiconductor firması tarafından geliştirilmiştir. Bu elemanlar hızlıdır ve 3.3 V veya 5 V mantık devreleriyle çalışabilirler. A A B B X

PAL ler ve GAL ler PAL ler ve GAL ler basitleştirilmiş devre ile gösterilebilir.tek bir hat üzerine çizilen slash ve sayı ile birden fazla hattı temsil edebilir. Aşağıdaki şekilde XOR geçidi olarak programlanmış PAL in şekli verilmiştir. Giriş bufferı A A B B İki girişli AND geçidi Sigortası yakılmış X X 2 AB Sigortası yakılmamış X X 2 AB AB + AB

PAL ler ve GAL ler PAL ler ve GAL ler girişlerinde yapılarının karmaşıklığına göre büyük boyutlu dizge ve çıkışlarında kullanım alanlarını artıracak mantık devreleri içerirler. Her çıkış işareti güçlendirmek amacıyle OR geçidinden geçirilir, bu yapıya macrocell adı verilir. Aşağıda şekilde gösterildiği gibi iki tür PAL/GAL macrocell i kullanılır, macrpcell ler çıkış veya giriş hattı olarak kullanılabilir. Tristate denetiml AND dizgesinden I/O AND dizgesinden I/O AND dizgesine AND Dizgesine Çıkışın polaritesini denetleyen Programlanabilir sigorta bağlantısı.

PAL ler ve GAL ler I1 7 Macrocell O1 PAL16V8 en yaygın satılan SPLD dir. 16 giriş ucuna ve 8 çıkış ucuna sahiptir. I/O hatları ise hem çıkış hem de giriş olarak kullanılabilir. I2 I3 I4 I5 I6 Programmable AND array 7 7 7 7 Macrocell Macrocell Macrocell Macrocell I/O1 I/O2 I/O3 I/O4 I7 7 Macrocell I/O5 I8 I9 7 Macrocell I/O6 PLCC kılıfı I/O10 7 Macrocell O2

CPLD ler Karmaşık programlanabilir mantık elemanları (complex programmable logic device), CPLD, birden fazla mantık dizge bloğu (LAB) içermektedir. Aslında CPLD içerisinde birden fazla SPLD içeren ve bu SPLD bloklarını birbirine bağlayan programlanabilir iç bağlantı dizgesi (programmable interconnect array), PIA, içeren bir tümdevredir. Çok farklı yapıda CPLD üretilmektedir. PIA LAB ları entegre içinde birbirine bağlayan bir dizgedir. Bu bağlantılar yüksek seviyeli bir programlama dili (hardware description language), HDL, ile yapılabilir. I/O I/O Logic array block (LAB) SPLD Logic array block (LAB) SPLD PIA Logic array block (LAB) SPLD Logic array block (LAB) SPLD I/O I/O I/O Logic array block (LAB) SPLD Logic array block (LAB) SPLD I/O

CPLD ler CPLD lerin yapısı içerisine yerleştirilen elemanlara bağlıdır. Şağıdaki şekilde Altera MAX 7000 serisi CPLD nin iç yapısı gösterilmiştir. CPLD iç yapısı üretici firmalara göre çok farklılık göstermektedir. General-purpose inputs I/O pins I/O control block Logic array block (LAB A) Macrocell 1 PIA Logic array block (LAB B) Macrocell 1 I/O control block I/O pins 8Ð16 Macrocell 2 36 36 Macrocell 2 8-16 16 16 Macrocell 16 Macrocell 16 8-16 8-16

CPLD ler Altera MAX 7000 serisinde macrocell lerinden 5 adet çarpımların toplamı terim elde edilir. Bu çıkışlar genişletme hatlarına bağlanarak daha geniş çarpım terimleri elde edilebilir. Parallel expanders from other macrocells Product-term selection matrix Associated logic To I/O control block Shared expander A B C Genişletme Örneği ABC(E + F)=ABCE + ABCF 36 lines from PIA 15 expander product terms from other macrocells E + F EF Product term from another macrocell in same LAB

Macrocell Kombinasyonel mantık çıkışlarına ek olarak macrocell lerde kayıtlı çıkış almakta mümkündür. Bunun için kombinasyonel çıkışı programlanarak flip-flop girişine bağlanır ve çıkışından kayıtlı çıkış elde edilir. Bu işlem CPLD lerin sıralı mantık devrelerinde de kullanılabileceğini gösterir. Parallel expanders from other macrocells Global clear Global clock MUX 5 From I/O Productterm selection matrix MUX 2 MUX 1 PRE D/T Q C EN CLR To I/O V CC MUX 3 Shared expander MUX 4 36 lines from PIA 15 expander product terms from other macrocells

FPGA ler Alan programlanabilir geçit dizgesi (field programmable gate array), FPGA, CPLD lerden farklı bir mimari kullanır. Tanımlanabilir, ayarlanabilir, lojik bloklar (configurable logic block), CLB, FPGA lerin temel taşıdır. CLB lerin sayısı ihtiyaca göre arttırılabilir. CLB ler satır ve sütün düzeneğine göre yapılandırılmıştır. CLB ler içerisinde logic moduller birbiri ile iç bağlantıları ile bağlanabilir. Lojik moduller genellikle başvuru tabloları (LUT), ile desteklenir. flip-flop, ve MUX kullanılarak istenildiğinde kombinasyonel mantık çışı veya sıralı mantık çıkışı elde edilebilir. CLB Local interconnect Logic module Logic module Logic module Logic module Global column interconnect CLB Local interconnect Logic module Logic module Logic module Logic module Global row interconnect

FPGA ler Mantık modüller kombinasyonel, kayıtlı veya karışık olarak kullanılabilir. Genel iç bağlantılar her CLB ye ortak kullanılan işaretler ile giriş ve çıkış işaretlerini dağıtır (saat işaretide bunlara dahildir). FPGA ler üretici tarafından programlanan hard çekirdeğe sahip olabilirler. Bu tür FPGA ler genel işlemler için kullanılır. I/O bağlantısı için kullanılan FPGA bu türe bir örnektir. CLB Local interconnect Logic module Logic module Logic module Logic module CLB Local interconnect Logic module Logic module Logic module Logic module Global column interconnect Global row interconnect

Programlanabilir Mantık Devre Yazılımları PLD üreten her firma kendi ürününe uygun yazılımı sağlarlar. Yazılımın yazılması, test edilmesi ve PLD ye yüklenmesi aşamalarını aşağıdaki gibi özetleyebiliriz.. Birinci adım gerçekleştirmek istediğimiz mantık fonksiyonunun devresini tanımlamaktır. Bu işlem iki tür yapılır: 1. Şeması çizilerek 2. Donanım tanımlama yazılımı ile komut yazarak (Hardware description language), HDL. Design entry Schematic HDL Functional simulation Synthesis Implementation Timing simulation Device programming (downloading)

Programlanabilir Mantık Devre Yazılımları Mantık fonksiyonun şekil çizerek tanımlanması, bilgisayar ekranında temel mantık geçitlerini kullanarak mantık devresinin çizilmesi ile olacaktır. Daha sonra küçük şekilde görüldüğü gibi şekil blok haline dönüştürülecektir. Bu yöntemin avantajı HDL hakkında ayrıntı bilmek zorunda değilsiniz.

Programlanabilir Mantık Devre Yazılımları Kod yazarak fonksiyonun tanımlanmasında bu iş için firmalar tarafından geliştirilen HDL (hardware description language) dili kullanılır. Önek; VHDL veya Verilog gibi. VHDL programı iki kısımdan oluşur: Birinci kısım giriş (entity) ikinci kısım gövde (architecture) olarak adlandırılır. Giriş kısmı, girişleri, çıkışları ve değişkenleri tanımlanır. Gövde kısmında ise değişkenler arası boolean fonksiyonlarını tanımlanır. VHDL eşitliklerini bu programlama dilini bilmesenizde anlayabilirsiniz. A B C D LED1 Örnek: LED1 çıkışı için VHDL fonksiyonunu yazalım; LED1 <= ((D XOR C) XOR B) XOR A;

Programlanabilir Mantık Devre Yazılımları VHDL programı sık kullanılan fonksiyonları tanımlamaya ve daha sonra başka kod yazarken kullanmayı imkan sağlar. Örnek; aktif düşük girişli S-R tutucu devresi A S Q Q B R Q QNot

A S Q Q Giriş Kısmı Gövde kısmı entity S_RLatch is port (A, B: in bit; Q, QNot: inout bit); end entity S_RLatch; architecture Behavior of S_RLatch is begin Q <= not A or not QNot; QNot <= not B or not Q; end architecture Behavior; Giriş ve çıkış değişkenlerinin isimleri } B R Q QNot Devrenin Boolean tanımlaması Sağdaki fonksiyonun çıkışı soldakine gönderilir.

Simulasyon HDL kodları yazıldıktan sonra (VHDL gibi), fonksiyonel olarak devre simule edilir. Bu simulasyon HDL in bir parçasıdır. Ve çıkış değişkenlerinin girişe göre değişim eğrilerini elde edebilirsiniz. Böylece hataları belirler ve kodlarda düzeltme yapabilirsiniz. İki bitlik sayıcının fonksiyonel testi sonucu elde edilen giriş işaretlerine göre çıkışların değişim diyagramı.

Sentezleme Synthesis Simulasyon sonrası program fonksiyonu sadeleştirir ve gereksiz bağlantıları kaldırır ve yeni duruma uygun netlist, kodunu oluşturur. (netlist bağlantı listesidir.) A0 A1 A2 A3 I1 I2 I3 I4 inv1 inv2 inv3 inv4 net1 net2 net3 net4 net6 net7 net9 net14 net17 net23 net8 net11 net12 net13 net16 net18 net19 net21 net22 net24 and1 net5 and2 net10 and3 net15 and4 net20 net25 and5 or1 net26 O1 Z Netlist Netlist (Logic3) net<name>: instance<name>, <from>; <to>; instances: and1, and2, and3, and4, and5, or1, inv2, inv3, inv4; Input/outputs: I1, I2, I3, I4, O1; net1: and1, inport1; I1; net2: and1, inport2; I2; net3: and1, inport3; I3; net4: and1, inport4; I4; net5: and1, outport1; or1, inport1; net6: and2, inport1; I1; net7: and2, inport2; I3; net8: and2, inport3; inv2,outport1 net9: and2, inport4; inv4,outport1 net10: and2, outport1; or1,inport2; net11: and3, inport1; inv2,outport1 net12: and3, inport2; inv3,outport1 net13: and3, inport3; I4; net14: and3, inport4; I1; 5: and3

Uygulama Implementation Program netlistten sonra seçilen elemana uygun kodları oluşturur.

Zamanlama simulasyonu Timing simulation Seçilen elemana uygun kodlar oluşturulduktan sonra zamanlama simulaşyonu yapılır. Bunun yapılmasının sebebi her eleman kendi propagasyon gecikmesine shiptir. Seri bağlanan bloklar arası çıkışta istenmeyen uyumsuzluklar oluşabilir. Bu tür problemler hızlı olan işarete gecikme koyulması ile çözülür. Düzenleme sonrası tekrar zamanlama simulasyonu çalıştırılır. Waveform Editor Eğer zamanlama hatası oluştu ise hala düzeltme yapılabilir. Name: A0 A1 A2 0 0 0 1 ms 4 ms 8 ms 12 ms 16 ms A3 0 Glitch Z X

Aygıt Programlama Device programming (downloading) Son adım bilgisayarda elde edilen programı hedef PLA ya göndermektir. Bu işlem için programlama kablosu ve arayüzüne gereksinim duyulur. PLDT-2 Altera firması tarafından geliştirilmiş PLD programlama kartıdır. Programlama tamamlandıktan sonra pals üreteci, osilaskop kullanılarak yazılan program gerçek ortamda test edilir.