TEKNOLOJİK ARAŞTIRMALAR

Ebat: px
Şu sayfadan göstermeyi başlat:

Download "TEKNOLOJİK ARAŞTIRMALAR"

Transkript

1 ISSN: Makine Teknolojileri Elektronik Dergisi 2004 (1) TEKNOLOJİK ARAŞTIRMALAR Kısa Makale Murat CANER*, Nurettin UMURKAN**, Hasan ÇİMEN* * Afyon Kocatepe Üniversitesi, Teknik Eğitim Fakültesi, Elektrik Eğitimi Bölümü, AFYON. ** Yıldız Teknik Üniversitesi, Elektrik Mühendisliği Bölümü, İSTANBUL. Özet Bu makalede enerji üretim kontrol sistemlerinden senkron generatörlerin uyartım sistemleri hakkında bilgi verilerek büyük ölçekli sistem kararlılığı çalışması için kullanılan IEEE tip 1 uyartım sistemi modelinin dinamik performansı incelenmiştir. Bulanık mantık (BM) kontrol uygulamasının bu uyartım kontrol sistem modeli üzerinde BM kontrol uygulaması gerçekleştirilmiştir. Model parametreleri olarak IEEE tip 1 sistem için verilmiş Mag-A-Stat tipi uyarıcıya ait parametreler kullanılmıştır. Yapılan simulasyon sonuçları, BM kontrolörün performansının geleneksel kontrolör (regülatör ve uyartım sistem kararlaştırıcısı) ile kıyaslanamayacak ölçüde yüksek olduğunu göstermiştir. Ayrıca BM kontrol uygulanan sistem parametrelerinin ±%10 değiştirilmesi durumunda, sistem performansında bir değişiklik olmamıştır. Anahtar kelimeler : Bulanık mantık kontrolü, simulink, uyartım sistemi, voltaj kontrolü 1. Giriş Güç sistem işletiminde voltaj kalitesi çok önemlidir. Uyartım kontrolünün ana amacı ise normal işletme koşullarında generatör çıkış voltajındaki kararlılığın devamını sağlamak ve herhangi bir arıza durumu sonrasında regüle ederek voltajın hata öncesi kararlı hal değerine çabuk ve etkili bir şekilde gelmesini sağlamaktır. Son yıllarda, güç sistem stabilitesinin arttırılması üzerine hatırı sayılır çalışmalar yapılmış ancak voltaj kontrolör dizaynı üzerine daha az çalışma yapılmıştır [1]. Senkron generatör uyartım kontrolü, güç sistem stabilitesi ve elektrik gücü kalitesinin sağlanmasında çok önemlidir. Senkron generatör voltaj kontrolörleri, senkron generatör alan akımını ayarlayarak generatör çıkış voltajını kontrol ederler. Uyartım sistemleri normalde, yüksek kazanç ve küçük zaman sabitine sahip sistemlerdir. Yani sistem işletme koşullarında çabuk kompanzasyon yapabilecek kapasiteye sahiptirler. Yüksek kazançlı uyartım kontrolü genellikle transient stabiliteyi sağlar fakat kontrolörün ani cevabında kalıcı düşük frekans osilasyonları oluşur. Bu da diğer makinelerle arasında dinamik kararsızlık oluşmasına yol açar [2]. Yüksek performanslı uyartım kontrolörü dizayn etmek için birçok kontrol teorisi geliştirilmiştir. Bunlar arasında lineer optimal kontrol teorisi, adaptif kontrol teorisi, bulanık mantık kontrol teorisi ve nonlineer kontrol teorisi en yaygın olanlarıdır [3]. Son yıllarda BM, göze çarpan yüksek performansıyla çeşitli güç sistem uygulamalarında kullanılmaya başlamıştır. Kontrol edilen sistemin iyi tanımlanamadığı, karmaşık olduğu veya uygun matematiksel modelinin bulunamadığı durumlarda, BM kontrol tekniğinin uygulanması çok uygun olmaktadır. BM kontrolörleri, gürbüzdür ve nispeten düşük hesaplama gerektirirler. Basit bir mikroişlemci kullanılarak pratik olarak gerçeklenebilirler [4].

2 Makine Teknolojileri Elektronik Dergisi 2004 (1) Ancak uygulamayı sınırlayan bazı dezavantajlara da sahiptir. İlk olarak bulanık mantık (BM) kontrolörün dizaynında kullanılan bilgi, tecrübeye ve uzmanlığa dayanmalıdır. İhtiyaç duyulan türdeki bilginin elde edilmesi bazen çok zor olabilir. İkinci olarak BM kontrolörün parametreleri çoğunlukla deneme yanılma metodu ile bulunur. Bu da zaman alır ve optimal kontrolü garanti etmez [5]. Bu çalışmada klasik uyartım kontrol sistemi üzerinde BMK ilave edilerek Matlab da simulasyon yapılmış ve BMK nın uyartım sistemi performansı üzerindeki etkisi araştırılmıştır. 2. Sistem modeli İlk IEEE komite raporu 1968 de yayınlanmıştır. Buna göre uyartım sistemleri uyartım gücü kaynağına göre değil keyfi olarak sınıflandırılmıştır. Bununla beraber raporda, yaygın olarak kullanılan ve halen kullanımda olan sistemlerin ekseriyetini temsil eden IEEE tip 1 sistemi tanıtılmıştır. Bu sistem temelde tipteki dönen uyartım sistemlerini temsil eder fakat biraz değişlik yapılarak statik uyartım sistemlerini de temsil etmesi sağlanabilir. V R regülatör çıkışıdır ve sınırlandırılmıştır. Regülatör transfer fonksiyonu T A zaman sabitine ve pozitif K A kazancına sahiptir. Doyma fonksiyonu S E =f(e FD ) uyarıcının doyma özelliğini karakterize eder [6]. Bir çeşit geri beslemeli kontrol sistemi olan uyartım kontrol sistemi, sıklıkla türev geri beslemeye veya ilerlemeli/gecikmeli kompanzasyona ihtiyaç duyar. Uyartım sistem stabilizörü (USS) uyartım sistemlerinin kararlı çalışma bölgesinin arttırılması ve yüksek regülatör kazançlarının mümkün kılınmasını sağlar. Geri beslemeli kompanzasyon (USS) yerine seri bağlı ilerleme/gecikme li devre (Transient Gain Reduction) kullanılabilir. USS, güç sistem stabilizörü (Power System Stabilizer, PSS) ile karıştırılmamalıdır. USS, kısa devre koşullarında etkili voltaj regülasyonu sağlamak için dizayn edilir. PSS ise transient bozucu etkilerin oluşturduğu rotor osilasyonlarının sönümlendirilmesi amacıyla kullanılır. USS in çıkışı Vf, PSS in çıkışı ise V S dir ve her iki sinyal de hata sinyaline ilave edilir [6]. Bu sistemde kontrol sinyali sistem hatası ile oransal olarak bağlantılıdır. Çıkıştaki potansiyel transformatörü ve doğrultucu sayesinde üç fazlı voltajın efektif değerleri ortalaması ile V C çıkış voltajı arasında oransal ilişki kurulur. Bu ilişki V C = V T K R / (1+T R s) bağıntısıyla verilir. T R zaman sabiti ile tanımlanan filtre ile çıkış gerilimi süzülür. T R zaman sabiti genellikle çok küçük olup bu sistemde sıfır alınmıştır. Karşılaştırıcı ise referans voltajla V C voltajını karşılaştırarak hata sinyalini üretir Ve = k (V ref V C ). Karşılaştırıcı nonlineer bir köprü devresiyle gerçeklenebilir. Regülatör yükselteç dönen (rototrol, amplidin), manyetik veya elektronik tipte olabilir. Regülatör yükselteç lineer kabul edilirse K A kazancı ve T A zaman sabiti ile V R = K A Ve / (1+T A s) bağıntısıyla ifade edilir. Yükseltici çıkışının uygulamadaki değerlerle uygunluk sağlaması açısından alt ve üst sınırlar V Rmin < V R < V Rmax şeklinde modellenir. Bu sınırlama blok diyagramda görülmektedir. Uyarıcı çıkış voltajı ise regülatör yükselteç çıkışının bir fonksiyonudur. Regülatör yükselteç çıkışından türetilen uyarıcı çıkışı E FD = (V R E FD S E ) / (K E + T E s) bağıntısıyla gösterilir. Burada K E sabitinin tanımında DC uyarıcıların kendinden veya bağımsız uyarmalı olmasına göre farklılık vardır. Aslında K E sabiti işletme şartlarına göre değişebilen ve hesaplanabilen bir değerdir. E FD, senkron reaktans gerisindeki voltajdır. Generatörün transfer fonksiyonu ise lineer yükselticiye benzer bir şekilde yüksüz durumda iken T do, kısa devre durumunda ise T d zaman sabitiyle ifade edilir. Aslında gerçek zaman sabiti yüke bağlı olarak bu iki zaman sabiti arasında değişim gösterir. Bu sabiti T G ve kazancı da K G olarak gösterirsek doyma ihmal edildiğinde V T = K G E FD / (1+T G s) bağıntısı bulunur. Bu bağıntıda çalışma bölgesi içinde lineerlik söz konusudur. Çıkışta oluşan küçük değişimler için doymanın kullanılması gerekmez. Fakat büyük değişimler söz konusu ise uyarıcıda uygulanan doyma tekniği benzer şekilde generatör için de kullanılmalıdır [6]. Uyarıcıda kullanılan S E doyma fonksiyonu sadece DC ve AC uyartım sistem modellerinde kullanılır. Bu çalışmada bilgisayarda en kolay simülasyonu yapılabilen IEEE Tip1 sistemi kullanılmıştır. Senkron makine modeli olarak, basitleştirilmiş lineer model kullanılmıştır. Generatör alan voltajı ile açık devre 18

3 Caner, M., Umurkan, N., Çimen, H. Makine Teknolojileri Elektronik Dergisi 2004 (1) (yüksüz) çıkış voltajı arasındaki ilişkiyi tanımlayan bu model ve bu sisteme ait kontrol blok diyagramı Şekil 1. de gösterilmiştir[7]. Güç sistemi simulasyon çalışmalarında kullanılan senkron makine uyartım sistem modelleri çeşitli alt sistemlerden oluşur. Bu alt sistemler ise çıkış voltaj transdüseri ve yük kompanzatörü (potansiyel transformatörü ve doğrultucu), uyartım kontrol elemanları, bir uyarıcı ve çoğunlukla bir güç sistem stabilizörü içerirler. Uyartım kontrol sistemleri hem uyartım regülasyonu hem de kararlaştırıcı fonksiyonları içermektedir [8]. Bu çalışmada uyartım regülasyonu üzerinde durulmuştur. Şekil 1. IEEE Tip 1 Uyartım Kontrol Sistemi Blok Diyagramı. 3. Bulanık Mantık Kontrolör Dizaynı BM kontrolörler kural-tabanlı kontrolörlerdir. BM kontrolörün yapısında bilgi tabanlı kontrolörden farklı olarak bulanık kümeler teorisindeki prensipleri ve bu mantığa uygun verileri kullanırlar [4]. Bu çalışmada önerilen BM kontrolün amacı çıkış voltajı üzerinde tespit edilen hatanın uyartım kontrolü yoluyla sönümlendirilmesidir. BM kontrol dizaynı temel olarak giriş ve çıkış değişkenlerinin tespiti, bu değişkenler için üyelik fonksiyonlarının tanımlanması ve kural tablosunun oluşturulması olarak özetlenebilir. Kontrol değişkenlerinin seçilmesi kontrol edilen sistemin yapısına ve arzu edilen çıkışa bağlıdır. Genellikle giriş değişkenleri olarak çıkış hatası ve hatanın türevi yani değişimi kullanılır. Bu çalışmada giriş değişkenleri olarak kontrol edilen sistem çıkışı ile arzu edilen çıkış arasındaki fark olan hata E ile hatanın değişimi de seçilmiştir. Kontrolör tarafından üretilen du sinyali de çıkış değişkeni olarak seçilmiştir. Tek giriş ve tek çıkışlı BM kontrol sistemlerinde aslında iki giriş kullanılır [3]. SIMULINK te BM kontrolör bloğu tek girişe sahip olduğu için bu iki giriş işareti mux bloğu ile birleştirilerek kontrolör girişine uygulanır. Giriş değişkenleri E = Vt -Vref ve de = Vt i - vt i-1 olarak gösterilebilir. BM kontrolör bloğu başlıca üç parçadan oluşur. Giriş değişkenlerinin bulanıklaştırılması, BM kuralları ve üretilen çıkışların durulaştırılması. Tüm giriş ve çıkış değişkenleri için bulanıklaştırma ve durulaştırma işlemlerinde kullanılan üçgen biçimli üyelik fonksiyonları seçilmiştir. Bu fonksiyonlarda veri aralıkları yedi eşit parçaya bölünmüştür. Ayrıca tüm girişler (bulanıklaştırma öncesi) ve çıkışlar (durulaştırma sonrası) sırasıyla (Ke,Kc,Ku) katsayılarıyla çarpılarak kontrolör dışı ile içi arasında veri ölçek uyumu sağlanır. Bu katsayılar kontrolör dışından ölçülen verileri seçilen üyelik fonksiyonlarının veri aralıklarına uygunlaştırmak için normalize işlemi yaparlar. Bu katsayıların uygun seçilmesinin kontolör performansındaki etkisi büyüktür. Bu katsayılar genellikle deneme yanılma metoduyla bulunur. 19

4 Makine Teknolojileri Elektronik Dergisi 2004 (1) Bulanıklaştırılan girişlerden bulanık çıkışlar elde ederken bulanık çıkarsama metodları kullanılır. Bunun için ise Eğer ise o halde şeklinde kurallar grubuna ihtiyaç vardır. Bu kurallar uzmanlık deneyimleriyle elde edilebilir. Böylece kural tablosu oluşturulur. Eğer iki giriş değişkeninin boyutları sırasıyla 2l+1 ve 2m+1 şeklinde ise kural tablosunun boyutu da (2l+1)x(2m+1) olacaktır. Bu çalışmada kullanılan kural tablosu Tablo 1. de verilmiştir. Tablo 1. Bulanık Kontrol Kural Tablosu [5]. de/e NB NO NK SS PK PO PB NB NB NO NO NK NK SS PK NO NB NO NK NK SS PK PK NK NB NO NK SS PK PK PO SS NO NO NK SS PK PO PO PK NO NK NK SS PK PO PB PO NK NK SS PK PK PO PB PB NK SS PK PK PO PO PB NB : Negatif Büyük NK : Negatif Küçük PK : Pozitif Küçük PB : Pozitif Büyük NO : Negatif Orta SS : Sıfır PO : Pozitif Orta. Örnek : Eğer E NB ise ve de PK ise o halde du NO Tablo dan her bir değişken için sekiz bulanık kümenin belirlendiği görülmektedir. Kurallar VE operatörü uygulanarak değerlendirilir. Bu operatör kümelerin kesişimini temsil eder. Sonra tüm çıkışlar maksimum kompozisyon metodu ile toplanır. Ve sonra toplanmış çıkış centroid metodu ile durulaştırma işlemi yapılır. Son olarak da çıkış kontrol sinyali çıkış ölçeklendirme faktörü Ku ile çarpılır. FIS yapısı Matlab da tüm BM kontrol bilgisini içeren bir yapıdır. Yukarıdaki işlemler bu yapı ile gerçekleştirilir. Yeni bir FIS yapısı oluşturabilmek için Fuzzy komutu kullanılır. Tüm BM kontrol bilgisi, bu FIS editörü üzerindeki, giriş ve çıkış değişkenleri ilavesi, üyelik fonksiyon editörü ve kural editörü menüleri yardımıyla girilir. 4. Simülasyon Senkron makinenin dinamik davranışı ve önerilen kontrolörün performansı üzerinde çalışmak için SIMULINK simulasyon modeli kurulmuştur. Simülasyonlar için IEEE Tip 1 sistem kullanılmıştır. Öncelikle regülatör yükselteç, çıkış voltaj transdüseri ve USS li geri besleme kontrolü içeren uyartım kontrol sisteminin Matlab SIMULINK üzerine simulasyonu yapılmıştır. Sistemin simulasyonu için Mag- A-Stat tipi uyarıcı parametreleri kullanılmıştır [9]. K A = 400, T A = 0.05, K E = -0.17, T E = 0.95, Kf = 0.04, Tf = 1.0, K R = 1.0, T R = 0, S E = 0.95, K G = 1.0, T G = 1.2 V Rmax = 3.5, V Rmin = -3.5 Ke = 0.16 Kc = 0.06 Ku = 0.06 Bu karşılaştırıcı, regülatör yükselteç, uyarıcı, generatör ve bir uyartım sistemi kararlılaştırıcısından oluşan kontrol sistemi (Şekil 1.) girişine bozucu etki olarak 0.04 ncü saniyede negatif birim basamak işaret uygulanmış ve simülasyon sonucu da şekil 3 de görüldüğü gibi elde edilmiştir. 20

5 Caner, M., Umurkan, N., Çimen, H. Makine Teknolojileri Elektronik Dergisi 2004 (1) Şekil 2. Bulanık mantık kontrolü uygulanmış uyartım kontrol sistemi blok diyagramı. Şekil 2. de Matlab SIMULINK te dizayn edilen BM tabanlı kontrol uygulanan sistemin blok diyagram gösterimi görülmektedir. Bu sistemde regülatör yükselteç ve USK geri beslemesi çıkartılarak yerine yukarıda dizayn özellikleri anlatılan BM tabanlı kontrolör ilave edilmiştir. Sisteme yine aynı bozucu etki uygulanmıştır ve aynı sistem parametreleri kullanılmıştır. İkinci giriş değişkeni olan de, geciktirme operatörü kullanılarak hatanın bir önceki değeriyle farkı alınarak hata değişim işareti elde edilmiştir. Şekil 3. Regülatör ve USK kullanılan IEEE Tip 1 Sistemle BM kontrol uygulanan sistemin karşılaştırılması Şekil 4. BM kontolörlü sistemin parametrelerin ±%10 değiştirilmesiyle performans değişimi 5. Sonuç Bu çalışmada, BM tabanlı kontrolörün senkron generatör uyartım kontrol sisteminin dinamik performansı üzerindeki etkisi araştırılmıştır. Sistemin beklenmeyen, ani hatalara karşı osilasyon zamanı veya sistem sönümlemesi açısından performansının arttırılması amaçlanmıştır. BM kontrolörü dizayn edilerek basitleştirilmiş lineer generatör modeli kullanılan IEEE Tip 1 uyartım kontrol sistemine uygulanmıştır. Sisteme bozucu etki olarak birim basamak fonksiyonu uygulanmıştır. Yapılan simülasyon sonucuna göre BM kontrol uygulanan sistemde üstünlük bariz bir şekilde görülmektedir. Birim basamak bozulmaya karşı sistem daha kısa sürede ve çok daha az genlikli bir tepki vermiştir. Ayrıca parametrelerin ±%10 değişimine karşı sistemin dayanıklı olduğu da görülmüştür. Daha önce de benzer sistemlere uygulanan bulanık mantık kontrolü C tabanlı programlar yazılarak uygulanmaktadır. Matlab SIMULINK hazır fonksiyonları ile simülasyon uygulamasına kolaylık ve pratiklik getirmektedir. Bulanık mantık tabanlı kontrol pratikte maliyeti az ve kolay uygulanabilir 21

6 Makine Teknolojileri Elektronik Dergisi 2004 (1) olduğundan tercih edilen bir kontrol yöntemidir. Ayrıca pratik uygulamalarda sistem parametrelerine de ihtiyaç duymaz. Ancak yapılan kontrolün optimum olacağı garanti edilemez. Deneme yanılma metodu ile kural tablosu ve üyelik fonksiyonlarında yapılacak değişiklikle daha iyi kontrol sonuçlarının elde edilmesi de mümkündür. Kaynaklar [1] Zhu,C., Zhou,R., Wang,Y., A New Decentralized Nonlineer Voltage Controller For Multimachine Systems, IEEE Transactions on Power Systems, Vol. 13, No. 1, February [2] Paulus,M., Fuzzy System PSS, Control of Power&heating systems, 5 th International Conference, Zlin, Czech Republic, May 21-22, 2002 [3] Wen, J., Cheng, S., Malik, O., A synchronous generator fuzzy excitation controller optimally designed with a genetic algorithm, IEEE Transactions on Power Systems, Vol.13, No.3, August [4] El-Hawary,M., Electric Power Applications of Fuzzy Systems, IEEE Pres, [5] Arnalte, S., Fuzzy logic-based voltage control of a synchronous generator, International Journal of Electrical Engineering Education, Vol.37, Issue 4, p333, Oct [6] Padiyar, K.R., Power System Stability and Control, John Wiley & Sons, [7] Salem,M.M., Zaki,A.M., Malik,O.P., Real-Time Implementation of A Neuro-AVR for synchronous Generator, 15th triennial World Congress, Barcelona, Spain. [8] IEEE Standart , IEEE Recomended Practice for Excitation System Models for Power System Stability Studies, [9] Anderson P.M., Fouad, A.A., Power System Control and Stability, IEEE Press,

Ders İçerik Bilgisi. Dr. Hakan TERZİOĞLU Dr. Hakan TERZİOĞLU 1

Ders İçerik Bilgisi. Dr. Hakan TERZİOĞLU Dr. Hakan TERZİOĞLU 1 Dr. Hakan TERZİOĞLU Ders İçerik Bilgisi PID Parametrelerinin Elde Edilmesi A. Salınım (Titreşim) Yöntemi B. Cevap Eğrisi Yöntemi Karşılaştırıcı ve Denetleyicilerin Opamplarla Yapılması 1. Karşılaştırıcı

Detaylı

2011 Third International Conference on Intelligent Human-Machine Systems and Cybernetics

2011 Third International Conference on Intelligent Human-Machine Systems and Cybernetics 2011 Third International Conference on Intelligent Human-Machine Systems and Cybernetics Özet: Bulanık bir denetleyici tasarlanırken karşılaşılan en önemli sıkıntı, bulanık giriş çıkış üyelik fonksiyonlarının

Detaylı

TEK BÖLGELİ GÜÇ SİSTEMLERİNDE BULANIK MANTIK İLE YÜK FREKANS KONTRÜLÜ

TEK BÖLGELİ GÜÇ SİSTEMLERİNDE BULANIK MANTIK İLE YÜK FREKANS KONTRÜLÜ TEKNOLOJİ, Yıl 5, (2002), Sayı 3-4, 73-77 TEKNOLOJİ TEK BÖLGELİ GÜÇ SİSTEMLERİNDE BULANIK MANTIK İLE YÜK FREKANS KONTRÜLÜ Ertuğrul ÇAM İlhan KOCAARSLAN Kırıkkale Üniversitesi, Mühendislik Fakültesi, Elektrik-Elektronik

Detaylı

Bulanık Mantık Tabanlı Uçak Modeli Tespiti

Bulanık Mantık Tabanlı Uçak Modeli Tespiti Bulanık Mantık Tabanlı Uçak Modeli Tespiti Hüseyin Fidan, Vildan Çınarlı, Muhammed Uysal, Kadriye Filiz Balbal, Ali Özdemir 1, Ayşegül Alaybeyoğlu 2 1 Celal Bayar Üniversitesi, Matematik Bölümü, Manisa

Detaylı

OTOMATİK KONTROL SİSTEMLERİ TEMEL KAVRAMLAR VE TANIMLAR

OTOMATİK KONTROL SİSTEMLERİ TEMEL KAVRAMLAR VE TANIMLAR OTOMATİK KONTROL SİSTEMLERİ TEMEL KAVRAMLAR VE TANIMLAR KONTROL SİSTEMLERİ GİRİŞ Son yıllarda kontrol sistemleri, insanlığın ve uygarlığın gelişme ve ilerlemesinde çok önemli rol oynayan bir bilim dalı

Detaylı

Termik Türbinli Bir Alanlı Güç Sisteminin Bulanık Mantık Tabanlı Kontrolör İle Yük Frekans Kontrolü Analizi

Termik Türbinli Bir Alanlı Güç Sisteminin Bulanık Mantık Tabanlı Kontrolör İle Yük Frekans Kontrolü Analizi 6 th International Advanced Technologies Symposium (IATS 11), 1618 May 2011, Elazığ, Turkey Termik Türbinli Bir Alanlı Güç Sisteminin Bulanık Mantık Tabanlı Kontrolör İle Yük Frekans Kontrolü Analizi II.

Detaylı

BULANIK MANTIKLI KONTROLÖRLERLE GÜÇ SİSTEMLERİNDE GEÇİCİ KARARLILIĞIN İYİLEŞTİRİLMESİ

BULANIK MANTIKLI KONTROLÖRLERLE GÜÇ SİSTEMLERİNDE GEÇİCİ KARARLILIĞIN İYİLEŞTİRİLMESİ BULANIK MANTIKLI KONTROLÖRLERLE GÜÇ SİSTEMLERİNDE GEÇİCİ KARARLILIĞIN İYİLEŞTİRİLMESİ Gülden OĞUZ Ayşen DEMİRÖREN Elektrik Mühendisliği Bölümü, İstanbul Teknik Üniversitesi, İstanbul Anahtar sözcükler:

Detaylı

AC ve STATİK TİP UYARTIM SİSTEMLERİNDE GÜÇ SİSTEM STABİLİZERLERİNİN KARARLILIĞA ETKİSİNİN ARAŞTIRILMASI

AC ve STATİK TİP UYARTIM SİSTEMLERİNDE GÜÇ SİSTEM STABİLİZERLERİNİN KARARLILIĞA ETKİSİNİN ARAŞTIRILMASI AC ve STATİK TİP UYARTIM SİSTEMLERİNDE GÜÇ SİSTEM STABİLİZERLERİNİN KARARLILIĞA ETKİSİNİN ARAŞTIRILMASI Kadir ABACI, M. Atay TUGAL 2, Volkan YAMAÇLI 3,,3 Mersin Üniversitesi, Elektrik-Elektronik Mühendisliği,Çiftlikköy

Detaylı

Genetik Algoritma İle Fuzzy PSS in Kural Tablosu Optimizasyonu. The Optimization Of Fuzzy PSS Rule Table Using Genetic Algorithm

Genetik Algoritma İle Fuzzy PSS in Kural Tablosu Optimizasyonu. The Optimization Of Fuzzy PSS Rule Table Using Genetic Algorithm Genetik Algoritma İle Fuzzy PSS in Kural Tablosu Optimizasyonu Murat Caner a ve Uğur Gülseren a Afyon Kocatepe Universitesi,TEF, Elektrik Eğitimi Böl.,Afyon e-posta: Mcaner72@gmail.com, ugur_gulseren@hotmail.com,

Detaylı

KST Lab. Shake Table Deney Föyü

KST Lab. Shake Table Deney Föyü KST Lab. Shake Table Deney Föyü 1. Shake Table Deney Düzeneği Quanser Shake Table, yapısal dinamikler, titreşim yalıtımı, geri-beslemeli kontrol gibi çeşitli konularda eğitici bir deney düzeneğidir. Üzerine

Detaylı

ELEKTRİK ENERJİ SİSTEMLERİNDE OLUŞAN HARMONİKLERİN FİLTRELENMESİNİN BİLGİSAYAR DESTEKLİ MODELLENMESİ VE SİMÜLASYONU

ELEKTRİK ENERJİ SİSTEMLERİNDE OLUŞAN HARMONİKLERİN FİLTRELENMESİNİN BİLGİSAYAR DESTEKLİ MODELLENMESİ VE SİMÜLASYONU T.C. MARMARA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ELEKTRİK ENERJİ SİSTEMLERİNDE OLUŞAN HARMONİKLERİN FİLTRELENMESİNİN BİLGİSAYAR DESTEKLİ MODELLENMESİ VE SİMÜLASYONU Mehmet SUCU (Teknik Öğretmen, BSc.)

Detaylı

Şekil-1. Doğru ve Alternatif Akım dalga şekilleri

Şekil-1. Doğru ve Alternatif Akım dalga şekilleri 2. Alternatif Akım =AC (Alternating Current) Değeri ve yönü zamana göre belirli bir düzen içerisinde değişen akıma AC denir. En çok bilinen AC dalga biçimi Sinüs dalgasıdır. Bununla birlikte farklı uygulamalarda

Detaylı

AREL ÜNİVERSİTESİ DEVRE ANALİZİ

AREL ÜNİVERSİTESİ DEVRE ANALİZİ AREL ÜNİVERSİTESİ DEVRE ANALİZİ İŞLEMSEL KUVVETLENDİRİCİLER DR. GÖRKEM SERBES İŞLEMSEL KUVVETLENDİRİCİ İşlemsel kuvvetlendirici (Op-Amp); farksal girişi ve tek uçlu çıkışı olan DC kuplajlı, yüksek kazançlı

Detaylı

DOĞRU AKIM (DC) ALTERNATİF AKIM (AC) VE STATİK (ST) TİP UYARTIM SİSTEMLERİNİN PERFORMANSLARININ ANALİZİ

DOĞRU AKIM (DC) ALTERNATİF AKIM (AC) VE STATİK (ST) TİP UYARTIM SİSTEMLERİNİN PERFORMANSLARININ ANALİZİ DOĞRU AKIM (DC) ALTERNATİF AKIM (AC) VE STATİK (ST) TİP UYARTIM SİSTEMLERİNİN PERFORMANSLARININ ANALİZİ Kadir ABACI Muhammed Atay TUGAL 2 Volkan YAMAÇLI 3 Elektrik-Elektronik Mühendisliği Bölümü,3 Mersin

Detaylı

Akım Modlu Çarpıcı/Bölücü

Akım Modlu Çarpıcı/Bölücü Akım Modlu Çarpıcı/Bölücü (Novel High-Precision Current-Mode Multiplier/Divider) Ümit FARAŞOĞLU 504061225 1/28 TAKDİM PLANI ÖZET GİRİŞ AKIM MODLU ÇARPICI/BÖLÜCÜ DEVRE ÖNERİLEN AKIM MODLU ÇARPICI/BÖLÜCÜ

Detaylı

Otomatik Kontrol (Doğrusal sistemlerde Kararlılık Kriterleri) - Ders sorumlusu: Doç.Dr.HilmiKuşçu

Otomatik Kontrol (Doğrusal sistemlerde Kararlılık Kriterleri) - Ders sorumlusu: Doç.Dr.HilmiKuşçu 1 2 1 3 4 2 5 6 3 7 8 4 9 10 5 11 12 6 K 13 Örnek Kararlılık Tablosunu hazırlayınız 14 7 15 Kapalı çevrim kutupları ve kararlıkları a. Kararlı sistem; b. Kararsız sistem 2000, John Wiley & Sons, Inc. Nise/Cotrol

Detaylı

ELEKTRİK MOTORLARI VE SÜRÜCÜLER ELEKTRİK MOTORLARINDA DENETİM PRENSİPLERİ

ELEKTRİK MOTORLARI VE SÜRÜCÜLER ELEKTRİK MOTORLARINDA DENETİM PRENSİPLERİ BÖLÜM 2 ELEKTRİK MOTORLARINDA DENETİM PRENSİPLERİ 2.1.OTOMATİK KONTROL SİSTEMLERİNE GİRİŞ Otomatik kontrol sistemleri, günün teknolojik gelişmesine paralel olarak üzerinde en çok çalışılan bir konu olmuştur.

Detaylı

ENDÜSTRİYEL BİR TESİSTE DİNAMİK KOMPANZASYON UYGULAMASI

ENDÜSTRİYEL BİR TESİSTE DİNAMİK KOMPANZASYON UYGULAMASI ENDÜSTRİYEL BİR TESİSTE DİNAMİK KOMPANZASYON UYGULAMASI Özgür GENCER Semra ÖZTÜRK Tarık ERFİDAN Kocaeli Üniversitesi Mühendislik Fakültesi, Elektrik Mühendisliği Bölümü, Kocaeli San-el Mühendislik Elektrik

Detaylı

MM 409 MatLAB-Simulink e GİRİŞ

MM 409 MatLAB-Simulink e GİRİŞ MM 409 MatLAB-Simulink e GİRİŞ 2016-2017 Güz Dönemi 28 Ekim 2016 Arş.Gör. B. Mahmut KOCAGİL Ajanda-İçerik Simulink Nedir? Nerelerde Kullanılır? Avantaj / Dezavantajları Nelerdir? Simulink Arayüzü Örnek

Detaylı

Sistem Dinamiği. Bölüm 2- Dinamik Cevap ve Laplace Dönüşümü. Doç.Dr. Erhan AKDOĞAN

Sistem Dinamiği. Bölüm 2- Dinamik Cevap ve Laplace Dönüşümü. Doç.Dr. Erhan AKDOĞAN Sistem Dinamiği - Dinamik Cevap ve Laplace Dönüşümü Doç. Sunumlarda kullanılan semboller: El notlarına bkz. Yorum Soru MATLAB Bolum No.Alt Başlık No.Denklem Sıra No Denklem numarası Şekil No Şekil numarası

Detaylı

Şekil 1. Geri beslemeli yükselteçlerin genel yapısı

Şekil 1. Geri beslemeli yükselteçlerin genel yapısı DENEY 5: GERİ BESLEME DEVRELERİ 1 Malzeme Listesi Direnç: 1x82K ohm, 1x 8.2K ohm, 1x12K ohm, 1x1K ohm, 2x3.3K ohm, 1x560K ohm, 1x9.1K ohm, 1x56K ohm, 1x470 ohm, 1x6.8K ohm Kapasite: 4x10uF, 470 uf, 1nF,4.7uF

Detaylı

Op-Amp Uygulama Devreleri

Op-Amp Uygulama Devreleri Op-Amp Uygulama Devreleri Tipik Op-amp devre yapıları şunları içerir: Birim Kazanç Arabelleği (Gerilim İzleyici) Evirici Yükselteç Evirmeyen Yükselteç Toplayan Yükselteç İntegral Alıcı Türev Alıcı Karşılaştırıcı

Detaylı

BC237, BC338 transistör, 220Ω, 330Ω, 4.7KΩ 10KΩ, 100KΩ dirençler ve bağlantı kabloları Multimetre, DC güç kaynağı

BC237, BC338 transistör, 220Ω, 330Ω, 4.7KΩ 10KΩ, 100KΩ dirençler ve bağlantı kabloları Multimetre, DC güç kaynağı DENEY 7: BJT ÖNGERİLİMLENDİRME ÇEŞİTLERİ 7.1. Deneyin Amacı BJT ön gerilimlendirme devrelerine örnek olarak verilen üç değişik bağlantının, değişen β değerlerine karşı gösterdiği çalışma noktalarındaki

Detaylı

KLASİK BULANIK MANTIK DENETLEYİCİ PROBLEMİ : INVERTED PENDULUM

KLASİK BULANIK MANTIK DENETLEYİCİ PROBLEMİ : INVERTED PENDULUM KLASİK BULANIK MANTIK DENETLEYİCİ PROBLEMİ : INVERTED PENDULUM M.Ali Akcayol Gazi Üniversitesi Mühendislik Mimarlık Fakültesi Bilgisayar Mühendisliği Bölümü (Yüksek Lisans Tezinden Bir Bölüm) Şekil 1'

Detaylı

PID SÜREKLİ KONTROL ORGANI:

PID SÜREKLİ KONTROL ORGANI: PID SÜREKLİ KONTROL ORGANI: Kontrol edilen değişken sürekli bir şekilde ölçüldükten sonra bir referans değer ile karşılaştırılır. Oluşacak en küçük bir hata durumunda hata sinyalini değerlendirdikten sonra,

Detaylı

ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ENERJİ SİSTEMLERİ MÜHENDİSLİĞİ BÖLÜMÜ

ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ENERJİ SİSTEMLERİ MÜHENDİSLİĞİ BÖLÜMÜ ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ENERJİ SİSTEMLERİ MÜHENDİSLİĞİ BÖLÜMÜ DENEY FÖYÜ DENEY ADI AC AKIM, GERİLİM VE GÜÇ DENEYİ DERSİN ÖĞRETİM ÜYESİ DENEY SORUMLUSU DENEY GRUBU: DENEY TARİHİ : TESLİM

Detaylı

Statik senkron kompanzatörün bulanık mantık temelli kontrolör ile tasarımı ve analizi

Statik senkron kompanzatörün bulanık mantık temelli kontrolör ile tasarımı ve analizi SAÜ Fen Bil Der 20. Cilt, 1. Sayı, s. 7-12, 2016 Statik senkron kompanzatörün bulanık mantık temelli kontrolör ile tasarımı ve analizi Yasin Genç 1, Ertan Yanıkoğlu 2 ÖZ 11.06.2015 Geliş/Received, 28.08.2015

Detaylı

Sistem Dinamiği. Bölüm 6. Elektrik ve Elektromekanik Sistemler. Doç.Dr. Erhan AKDOĞAN

Sistem Dinamiği. Bölüm 6. Elektrik ve Elektromekanik Sistemler. Doç.Dr. Erhan AKDOĞAN Sistem Dinamiği Bölüm 6. Elektrik ve Elektromekanik Sistemler Sunumlarda kullanılan semboller: El notlarına bkz. Yorum Bolum No.Alt Başlık No.Denklem Sıra No Denklem numarası Şekil No Şekil numarası YTÜ-Mekatronik

Detaylı

İÇİNDEKİLER CİLT I ELEKTROMANYETİK GEÇİT SÜREÇLERİ

İÇİNDEKİLER CİLT I ELEKTROMANYETİK GEÇİT SÜREÇLERİ İÇİNDEKİLER CİLT I ELEKTROMANYETİK GEÇİT SÜREÇLERİ Bölüm 1: ENERJİ SİSTEMLERİNDE KISA DEVRE OLAYLARI... 3 1.1. Kısa Devre Hesaplarında İzlenen Genel Yol... 5 1.2. Birime İndirgenmiş Genlikler Sistemi (

Detaylı

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ DENETİM SİSTEMLERİ LABORATUVARI DENEY RAPORU. Deney No: 3 PID KONTROLÜ

ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ DENETİM SİSTEMLERİ LABORATUVARI DENEY RAPORU. Deney No: 3 PID KONTROLÜ TEKNOLOJİ FAKÜLTESİ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ DENETİM SİSTEMLERİ LABORATUVARI DENEY RAPORU Deney No: 3 PID KONTROLÜ Öğr. Gör. Cenk GEZEGİN Arş. Gör. Ayşe AYDIN YURDUSEV Öğrenci: Adı Soyadı Numarası

Detaylı

ELEKTRİK ENERJİ SİSTEMLERİNDE OLUŞAN HARMONİKLERİN FİLTRELENMESİNİN BİLGİSAYAR DESTEKLİ MODELLENMESİ VE SİMÜLASYONU

ELEKTRİK ENERJİ SİSTEMLERİNDE OLUŞAN HARMONİKLERİN FİLTRELENMESİNİN BİLGİSAYAR DESTEKLİ MODELLENMESİ VE SİMÜLASYONU T.C. MARMARA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ELEKTRİK ENERJİ SİSTEMLERİNDE OLUŞAN HARMONİKLERİN FİLTRELENMESİNİN BİLGİSAYAR DESTEKLİ MODELLENMESİ VE SİMÜLASYONU Mehmet SUCU (Teknik Öğretmen, BSc.)

Detaylı

OTOMATİK KONTROL SİSTEMLERİ İŞARET AKIŞ DİYAGRAMLARI SIGNAL FLOW GRAPH

OTOMATİK KONTROL SİSTEMLERİ İŞARET AKIŞ DİYAGRAMLARI SIGNAL FLOW GRAPH OTOMATİK KONTROL SİSTEMLERİ İŞARET AKIŞ DİYAGRAMLARI SIGNAL FLOW GRAPH İŞARET AKIŞ DİYAGRAMLARI İşaret akış diyagramları blok diyagramlara bir alternatiftir. Fonksiyonel bloklar, işaretler, toplama noktaları

Detaylı

Üç Fazlı Sincap Kafesli bir Asenkron Motorun Matlab/Simulink Ortamında Dolaylı Vektör Kontrol Benzetimi

Üç Fazlı Sincap Kafesli bir Asenkron Motorun Matlab/Simulink Ortamında Dolaylı Vektör Kontrol Benzetimi Araştırma Makalesi Adıyaman Üniversitesi Mühendislik Bilimleri Dergisi (05) 6-7 Üç Fazlı Sincap Kafesli bir Asenkron Motorun Matlab/Simulink Ortamında Dolaylı Vektör Kontrol Benzetimi Ahmet NUR *, Zeki

Detaylı

Bulanık Mantık Bilgisayar Mühendisliği Bölümü Arasınav - 11 Nisan 2014 Süre: 1 Saat 30 Dakika

Bulanık Mantık Bilgisayar Mühendisliği Bölümü Arasınav - 11 Nisan 2014 Süre: 1 Saat 30 Dakika SORU 1 (20P). Bir tartı aletinin kalibrasyonunu yapmak üzere kurulan düzenekte, kalibrasyon katası ±10 gram arasında bakılmaktadır. Öyleki -10 ve altı kesinlikle NEGATİF BÜYÜK hata, +10 ve üstü kesinlikle

Detaylı

FPGA Tabanlı Kendini Ayarlayabilen Bulanık Kontrolör ile Manyetik Filtrenin Kontrolü

FPGA Tabanlı Kendini Ayarlayabilen Bulanık Kontrolör ile Manyetik Filtrenin Kontrolü FPGA Tabanlı Kendini Ayarlayabilen Bulanık Kontrolör ile Manyetik Filtrenin Kontrolü İlker Ali Özkan 1, Saadetdin Herdem 2 1 Bilgisayar Mühendisliği Bölümü Selçuk Üniversitesi, Konya ilkerozkan@selcuk.edu.tr

Detaylı

Kıyıcı Beslemeli DA Motorun Oransal İntegral ve Bulanık Mantık Oransal İntegral Denetleyicilerle Hız Kontrolü Karşılaştırılması

Kıyıcı Beslemeli DA Motorun Oransal İntegral ve Bulanık Mantık Oransal İntegral Denetleyicilerle Hız Kontrolü Karşılaştırılması Kıyıcı Beslemeli DA Motorun Oransal İntegral ve Bulanık Mantık Oransal İntegral Denetleyicilerle Hız Kontrolü Karşılaştırılması Erhan SESLİ 1 Ömür AKYAZI 2 Adnan CORA 3 1,2 Sürmene Abdullah Kanca Meslek

Detaylı

BÖLÜM-6 BLOK DİYAGRAMLARI

BÖLÜM-6 BLOK DİYAGRAMLARI 39 BÖLÜM-6 BLOK DİYAGRAMLARI Kontrol sistemlerinin görünür hale getirilmesi Bileşenlerin transfer fonksiyonlarını gösterir. Sistemin fiziksel yapısını yansıtır. Kontrol giriş ve çıkışlarını karakterize

Detaylı

T.C. YALOVA ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ENERJİ SİSTEMLERİ MÜHENDİSLİĞİ BÖLÜMÜ ESM 413 ENERJİ SİSTEMLERİ LABORATUVARI I

T.C. YALOVA ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ENERJİ SİSTEMLERİ MÜHENDİSLİĞİ BÖLÜMÜ ESM 413 ENERJİ SİSTEMLERİ LABORATUVARI I T.C. YALOVA ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ENERJİ SİSTEMLERİ MÜHENDİSLİĞİ BÖLÜMÜ ESM 413 ENERJİ SİSTEMLERİ LABORATUVARI I DENEY 6: DİYOT KARAKTERİSTİKLERİ VE AC-DC DOĞRULTUCU UYGULAMALARI Ad Soyad

Detaylı

Mühendislik Fakültesi Elektrik-Elektronik Mühendisliği Bölümü

Mühendislik Fakültesi Elektrik-Elektronik Mühendisliği Bölümü HAZIRLIK ÇALIŞMALARI İŞLEMSEL YÜKSELTEÇLER VE UYGULAMALARI 1. 741 İşlemsel yükselteçlerin özellikleri ve yapısı hakkında bilgi veriniz. 2. İşlemsel yükselteçlerle gerçekleştirilen eviren yükselteç, türev

Detaylı

Ders İçerik Bilgisi. Sistem Davranışlarının Analizi. Dr. Hakan TERZİOĞLU. 1. Geçici durum analizi. 2. Kalıcı durum analizi. MATLAB da örnek çözümü

Ders İçerik Bilgisi. Sistem Davranışlarının Analizi. Dr. Hakan TERZİOĞLU. 1. Geçici durum analizi. 2. Kalıcı durum analizi. MATLAB da örnek çözümü Dr. Hakan TERZİOĞLU Ders İçerik Bilgisi Sistem Davranışlarının Analizi 1. Geçici durum analizi 2. Kalıcı durum analizi MATLAB da örnek çözümü 2 Dr. Hakan TERZİOĞLU 1 3 Geçici ve Kalıcı Durum Davranışları

Detaylı

Cemal Keleş 1, Asım Kaygusuz 1

Cemal Keleş 1, Asım Kaygusuz 1 GÜÇ SİSTEMLERİNDE GÜÇ BÖLGELERİ ARASINDA MEYDANA GELEN SALINIMLARIN KONTROLÜ Cemal Keleş 1, Asım Kaygusuz 1 1 Elektrik-Elektronik Mühendisliği Bölümü İnönü Üniversitesi {cemal.keles,asim.kaygusuz}@inonu.edu.tr

Detaylı

Bulanık Mantık Denetleyiciler

Bulanık Mantık Denetleyiciler Denetim sistemleri genel olarak açık döngülüvekapalı döngülü/geri beslemeli olarak iki tiptir. Açık döngülü denetim sistemlerinde denetim hareketi sistem çıkışından bağımsıdır. Kapalı döngülü sistemlerde

Detaylı

Alçak Gerilimde Aktif Filtre ile Akım Harmoniklerinin Etkisinin Azaltılması

Alçak Gerilimde Aktif Filtre ile Akım Harmoniklerinin Etkisinin Azaltılması 618 Alçak Gerilimde Aktif Filtre ile Akım Harmoniklerinin Etkisinin Azaltılması 1 Latif TUĞ ve * 2 Cenk YAVUZ 1 Sakarya Üniversitesi, Mühendislik Fakültesi, Elektrik-Elektronik Mühendisliği Böl., Sakarya,

Detaylı

Yrd. Doç. Dr. Süleyman ADAK Mardin Artuklu Üniversitesi. İstasyon Yerleşkesi / Mardin Tel. + 90482 215 19 37 Faks.: + 90 482 215 33 55

Yrd. Doç. Dr. Süleyman ADAK Mardin Artuklu Üniversitesi. İstasyon Yerleşkesi / Mardin Tel. + 90482 215 19 37 Faks.: + 90 482 215 33 55 1 Yrd. Doç. Dr. Süleyman ADAK Mardin Artuklu Üniversitesi Meslek Yüksekokulu İstasyon Yerleşkesi / Mardin Tel. + 90482 215 19 37 Faks.: + 90 482 215 33 55 Kişisel Bilgiler: Adi-Soyadı Süleyman ADAK Statüsü

Detaylı

T.C. YALOVA ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ENERJİ SİSTEMLERİ MÜHENDİSLİĞİ BÖLÜMÜ ESM 413 ENERJİ SİSTEMLERİ LABORATUVARI I

T.C. YALOVA ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ENERJİ SİSTEMLERİ MÜHENDİSLİĞİ BÖLÜMÜ ESM 413 ENERJİ SİSTEMLERİ LABORATUVARI I T.C. YALOVA ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ ENERJİ SİSTEMLERİ MÜHENDİSLİĞİ BÖLÜMÜ ESM 413 ENERJİ SİSTEMLERİ LABORATUVARI I DENEY 2: DİYOT KARAKTERİSTİKLERİ VE AC-DC DOĞRULTUCU UYGULAMALARI Ad Soyad

Detaylı

DENEY.3 - DC MOTOR KONUM-HIZ KONTROLÜ

DENEY.3 - DC MOTOR KONUM-HIZ KONTROLÜ DENEY.3 - DC MOTOR KONUM-HIZ KONTROLÜ 3.1 DC MOTOR MODELİ Şekil 3.1 DC motor eşdeğer devresi DC motor eşdeğer devresinin elektrik şeması Şekil 3.1 de verilmiştir. İlk olarak motorun elektriksel kısmını

Detaylı

OTOMATİK KONTROL SİSTEMLERİ TEMEL KAVRAMLAR VE TANIMLAR

OTOMATİK KONTROL SİSTEMLERİ TEMEL KAVRAMLAR VE TANIMLAR OTOMATİK KONTROL SİSTEMLERİ TEMEL KAVRAMLAR VE TANIMLAR KONTROL SİSTEMLERİ GİRİŞ Son yıllarda kontrol sistemleri, insanlığın ve uygarlığın gelişme ve ilerlemesinde çok önemli rol oynayan bir bilim dalı

Detaylı

CETP KOMPOZİTLERİN DELİNMELERİNDEKİ İTME KUVVETİNİN ANFIS İLE MODELLENMESİ MURAT KOYUNBAKAN ALİ ÜNÜVAR OKAN DEMİR

CETP KOMPOZİTLERİN DELİNMELERİNDEKİ İTME KUVVETİNİN ANFIS İLE MODELLENMESİ MURAT KOYUNBAKAN ALİ ÜNÜVAR OKAN DEMİR CETP KOMPOZİTLERİN DELİNMELERİNDEKİ İTME KUVVETİNİN ANFIS İLE MODELLENMESİ MURAT KOYUNBAKAN ALİ ÜNÜVAR OKAN DEMİR Çalışmanın amacı. SUNUM PLANI Çalışmanın önemi. Deney numunelerinin üretimi ve özellikleri.

Detaylı

ALTERNATİF AKIMIN TEMEL ESASLARI

ALTERNATİF AKIMIN TEMEL ESASLARI ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİ BÖLÜMÜ ELEKTRİK-ELEKTRONİK MÜHENDİSLİĞİNE GİRİŞ DERSİ ALTERNATİF AKIMIN TEMEL ESASLARI Dr. Öğr. Üyesi Ahmet ÇİFCİ Elektrik enerjisi, alternatif akım ve doğru akım olarak

Detaylı

OTOMATİK KONTROL SİSTEMLERİ. DİNAMİK SİSTEMLERİN MODELLENMESİ ve ANALİZİ

OTOMATİK KONTROL SİSTEMLERİ. DİNAMİK SİSTEMLERİN MODELLENMESİ ve ANALİZİ OTOMATİK KONTROL SİSTEMLERİ DİNAMİK SİSTEMLERİN MODELLENMESİ ve ANALİZİ 1) İdeal Sönümleme Elemanı : a) Öteleme Sönümleyici : Mekanik Elemanların Matematiksel Modeli Basit mekanik elemanlar, öteleme hareketinde;

Detaylı

KISIM 1 ELEKTRONİK DEVRELER (ANALİZ TASARIM - PROBLEM)

KISIM 1 ELEKTRONİK DEVRELER (ANALİZ TASARIM - PROBLEM) İÇİNDEKİLER KISIM 1 ELEKTRONİK DEVRELER (ANALİZ TASARIM - PROBLEM) 1. BÖLÜM GERİBESLEMELİ AMPLİFİKATÖRLER... 3 1.1. Giriş...3 1.2. Geribeselemeli Devrenin Transfer Fonksiyonu...4 1.3. Gerilim - Seri Geribeslemesi...5

Detaylı

6 İşlemsel Kuvvetlendiricilerin Lineer Olmayan Uygulamaları deneyi

6 İşlemsel Kuvvetlendiricilerin Lineer Olmayan Uygulamaları deneyi 86 Elektronik Devre Tasarım 6 İşlemsel Kuvvetlendiricilerin Lineer Olmayan Uygulamaları deneyi 6. Önbilgi Günümüzde elektroniğin temel yapı taşlarından biri olan işlemsel kuvvetlendiricinin lineer.olmayan

Detaylı

Kapalı Ortam Sıcaklık ve Nem Denetiminin Farklı Bulanık Üyelik Fonksiyonları Kullanılarak Gerçekleştirilmesi

Kapalı Ortam Sıcaklık ve Nem Denetiminin Farklı Bulanık Üyelik Fonksiyonları Kullanılarak Gerçekleştirilmesi 6 th International Advanced Technologies Symposium (IATS ), 6-8 May 20, Elazığ, Turkey Kapalı Ortam Sıcaklık ve Nem Denetiminin Farklı Bulanık Üyelik Fonksiyonları Kullanılarak Gerçekleştirilmesi Ö. Akyazı,

Detaylı

BULANIK MANTIK ile KONTROL

BULANIK MANTIK ile KONTROL BULANIK MANTIK ile KONTROL AFYON KOCATEPE ÜNİVERSİTESİ Bulanık mantığın temel prensipleri: Bulanık küme sözel değişkenleri göstermek için kullanılır. Az sıcak, biraz soğuk gibi bulanık mantık üyelik fonksiyonları

Detaylı

(Mekanik Sistemlerde PID Kontrol Uygulaması - 3) HAVA KÜTLE AKIŞ SİSTEMLERİNDE PID İLE SICAKLIK KONTROLÜ. DENEY SORUMLUSU Arş.Gör.

(Mekanik Sistemlerde PID Kontrol Uygulaması - 3) HAVA KÜTLE AKIŞ SİSTEMLERİNDE PID İLE SICAKLIK KONTROLÜ. DENEY SORUMLUSU Arş.Gör. T.C. ERCİYES ÜNİVERSİTESİ MÜHENDİSLİK FAKÜLTESİ MEKATRONİK MÜHENDİSLİĞİ BÖLÜMÜ MEKATRONİK LABORATUVARI 1 (Mekanik Sistemlerde PID Kontrol Uygulaması - 3) HAVA KÜTLE AKIŞ SİSTEMLERİNDE PID İLE SICAKLIK

Detaylı

Elektrik Devre Lab

Elektrik Devre Lab 2010-2011 Elektrik Devre Lab. 2 09.03.2011 Elektronik sistemlerde işlenecek sinyallerin hemen hepsi düşük genlikli, yani zayıf sinyallerdir. Elektronik sistemlerin pek çoğunda da yeterli derecede yükseltilmiş

Detaylı

KESİKLİ İŞLETİLEN PİLOT ÖLÇEKLİ DOLGULU DAMITMA KOLONUNDA ÜST ÜRÜN SICAKLIĞININ SET NOKTASI DEĞİŞİMİNDE GERİ BESLEMELİ KONTROLU

KESİKLİ İŞLETİLEN PİLOT ÖLÇEKLİ DOLGULU DAMITMA KOLONUNDA ÜST ÜRÜN SICAKLIĞININ SET NOKTASI DEĞİŞİMİNDE GERİ BESLEMELİ KONTROLU KESİKLİ İŞLETİLEN PİLOT ÖLÇEKLİ DOLGULU DAMITMA KOLONUNDA ÜST ÜRÜN SICAKLIĞININ SET NOKTASI DEĞİŞİMİNDE GERİ BESLEMELİ KONTROLU B. HACIBEKİROĞLU, Y. GÖKÇE, S. ERTUNÇ, B. AKAY Ankara Üniversitesi, Mühendislik

Detaylı

OTOMATİK KONTROL SİSTEMLERİ İŞARET AKIŞ DİYAGRAMLARI SIGNAL FLOW GRAPH

OTOMATİK KONTROL SİSTEMLERİ İŞARET AKIŞ DİYAGRAMLARI SIGNAL FLOW GRAPH OTOMATİK KONTROL SİSTEMLERİ İŞARET AKIŞ DİYAGRAMLARI SIGNAL FLOW GRAPH İŞARET AKIŞ DİYAGRAMLARI İşaret akış diyagramları blok diyagramlara bir alternatiftir. Fonksiyonel bloklar, işaretler, toplama noktaları

Detaylı

SAYISAL KONTROL 2 PROJESİ

SAYISAL KONTROL 2 PROJESİ SAYISAL KONTROL 2 PROJESİ AUTOMATIC CONTROL TELELAB (ACT) ile UZAKTAN KONTROL DENEYLERİ Automatic Control Telelab (ACT), kontrol deneylerinin uzaktan yapılmasını sağlayan web tabanlı bir sistemdir. Web

Detaylı

Aktif Titreşim Kontrolü için Bir Yapının Sonlu Elemanlar Yöntemi ile Modelinin Elde Edilmesi ve PID, PPF Kontrolcü Tasarımları

Aktif Titreşim Kontrolü için Bir Yapının Sonlu Elemanlar Yöntemi ile Modelinin Elde Edilmesi ve PID, PPF Kontrolcü Tasarımları Uluslararası Katılımlı 17. Makina Teorisi Sempozyumu, İzmir, 1-17 Haziran 15 Aktif Titreşim Kontrolü için Bir Yapının Sonlu Elemanlar Yöntemi ile Modelinin Elde Edilmesi ve PID, PPF Kontrolcü Tasarımları

Detaylı

TRANSİSTÖRLÜ YÜKSELTEÇLERDE GERİBESLEME

TRANSİSTÖRLÜ YÜKSELTEÇLERDE GERİBESLEME TRANSİSTÖRLÜ YÜKSELTEÇLERDE GERİBESLEME Amaç Elektronikte geniş uygulama alanı bulan geribesleme, sistemin çıkış büyüklüğünden elde edilen ve giriş büyüklüğü ile aynı nitelikte bir işaretin girişe gelmesi

Detaylı

KABLOSUZ İLETİŞİM

KABLOSUZ İLETİŞİM KABLOSUZ İLETİŞİM 805540 MODÜLASYON TEKNİKLERİ FREKANS MODÜLASYONU İçerik 3 Açı modülasyonu Frekans Modülasyonu Faz Modülasyonu Frekans Modülasyonu Açı Modülasyonu 4 Açı modülasyonu Frekans Modülasyonu

Detaylı

Dersin Yarıyılı. Kredisi. Prof. Dr. İbrahim YÜKSEL/ Öğr. Gör. Dr. Mesut ŞENGİRGİN/ Öğr. Gör. Dr. Gürsel ŞEFKAT/Öğr.Gör.Dr. Zeliha K.

Dersin Yarıyılı. Kredisi. Prof. Dr. İbrahim YÜKSEL/ Öğr. Gör. Dr. Mesut ŞENGİRGİN/ Öğr. Gör. Dr. Gürsel ŞEFKAT/Öğr.Gör.Dr. Zeliha K. MAK3002 OTOMATİK KONTROL 2007-2008 YAZ OKULU Adı Otomatik Kontrol Dili Türü Ön Koşulu Koordinatörleri İçeriği Amacı Kodu MAK 3002 Türkçe Zorunlu Yok Yarıyılı 6 Kredisi Laboratuar (Saat/Hafta) Prof. Dr.

Detaylı

Kahramanmaras Sutcu Imam University Journal of Engineering Sciences

Kahramanmaras Sutcu Imam University Journal of Engineering Sciences KSU Mühendislik Bilimleri Dergisi, 20(2), 2017 97 KSU Journal of Engineering Sciences, 20(2), 2017 Kahramanmaras Sutcu Imam University Journal of Engineering Sciences Yerel Elektrik Santrallerin Dağıtım

Detaylı

BULANIK MANTIK YÖNTEMİNİN PID DENETLEYİCİ PERFORMANSINA ETKİSİ

BULANIK MANTIK YÖNTEMİNİN PID DENETLEYİCİ PERFORMANSINA ETKİSİ 16. ULUSAL MAKİNA TEORİSİ SEMPOZYUMU Atatürk Üniversitesi, Mühendislik Fakültesi, 12-13 Eylül, 2013 BULANIK MANTIK YÖNTEMİNİN PID DENETLEYİCİ PERFORMANSINA ETKİSİ 1 Mustafa ARDA, 2 Aydın GÜLLÜ, 3 Hilmi

Detaylı

ISSN : 1308-7231 sherdem@selcuk.edu.tr 2010 www.newwsa.com Konya-Turkey BİR DC MOTORUN BULANIK MANTIK DENETLEYİCİ İLE KONTROLÜ

ISSN : 1308-7231 sherdem@selcuk.edu.tr 2010 www.newwsa.com Konya-Turkey BİR DC MOTORUN BULANIK MANTIK DENETLEYİCİ İLE KONTROLÜ ISSN:1306-3111 e-journal of New World Sciences Academy 2011, Volume: 6, Number: 2, Article Number: 1A0175 İlker Ali Özkan ENGINEERING SCIENCES İsmail Sarıtaş Received: November 2010 Saadetdin Herdem Accepted:

Detaylı

MAK669 LINEER ROBUST KONTROL

MAK669 LINEER ROBUST KONTROL MAK669 LINEER ROBUS KONROL s.selim@gyte.edu.tr 14.11.014 1 State Feedback H Control x Ax B w B u 1 z C x D w D u 1 11 1 (I) w Gs () u y x K z z (full state feedback) 1 J ( u, w) ( ) z z w w dt t0 (II)

Detaylı

ELEKTRİK MOTOR SÜRÜCÜLERİ: PWM AC KIYICILAR

ELEKTRİK MOTOR SÜRÜCÜLERİ: PWM AC KIYICILAR ELEKTRİK MOTOR SÜRÜCÜLERİ: PWM AC KIYICILAR Hazırlayan ve Sunan: ELEKTRİK_55 SUNUM AKIŞI: PWM (DARBE GENİŞLİK MODÜLASYONU) NEDİR? Çalışma Oranı PWM in Elde Edilmesi Temelleri PWM in Kullanım Alanları AC

Detaylı

Örneğin bir önceki soruda verilen rüzgâr santralinin kapasite faktörünü bulmak istersek

Örneğin bir önceki soruda verilen rüzgâr santralinin kapasite faktörünü bulmak istersek KAPASİTE FAKTÖRÜ VE ENERJİ TAHMİNİ Kapasite faktörü (KF) bir santralin ne kadar verimli kullanıldığını gösteren bir parametredir. Santralin nominal gücü ile yıllık sağladığı enerji miktarı arasında ilişki

Detaylı

EVK Enerji Verimliliği, Kalitesi Sempozyumu ve Sergisi Haziran 2015, Sakarya

EVK Enerji Verimliliği, Kalitesi Sempozyumu ve Sergisi Haziran 2015, Sakarya 6. Enerji Verimliliği, Kalitesi Sempozyumu ve Sergisi 04-06 Haziran 2015, Sakarya KÜÇÜK RÜZGAR TÜRBİNLERİ İÇİN ŞEBEKE BAĞLANTILI 3-FAZLI 3-SEVİYELİ T-TİPİ DÖNÜŞTÜRÜCÜ DENETİMİ İbrahim Günesen gunesen_81@hotmail.com

Detaylı

ENDÜKTİF REAKTİF AKIM NEDİR?

ENDÜKTİF REAKTİF AKIM NEDİR? ENDÜKTİF REAKTİF AKIM NEDİR? Elektrodinamik sisteme göre çalışan transformatör, elektrik motorları gibi cihazlar şebekeden mıknatıslanma akımı çekerler. Mıknatıslanma akımı manyetik alan varken şebekeden

Detaylı

Açık Çevrim Kontrol Açık Çevrim Kontrol

Açık Çevrim Kontrol Açık Çevrim Kontrol Açık Çevrim Kontrol Açık Çevrim Kontrol Açık çevrim kontrol ileri kontrol prosesi olarak da ifade edilebilir. Yandaki şekilde açık çevrim oda sıcaklık kontrolü yapılmaktadır. Burada referans olarak dışarı

Detaylı

DERS BİLGİ FORMU. Okul Eğitimi Süresi

DERS BİLGİ FORMU. Okul Eğitimi Süresi ) GÜÇ ELEKTRONİĞİ (0860120203-0860170113) VE ENERJİ Zorunlu Meslek i Seçmeli (Proje, Ödev, Araştırma, İş Yeri ) 4 56 44 100 Kredisi 3+1 4 Bu derste; yarı iletken anahtarlama elemanları, doğrultucu ve kıyıcı

Detaylı

Yumuşak Yol Vericiler - TEORİ

Yumuşak Yol Vericiler - TEORİ Yumuşak Yol Vericiler - TEORİ 1. Gerilimi Düşürerek Yolverme Alternatif akım endüksiyon motorları, şebeke gerilimine direkt olarak bağlandıklarında, yol alma başlangıcında şebekeden Kilitli Rotor Akımı

Detaylı

Mekatronik Mühendisliği Uygulamalarında Yapay Zekâ. Ders 1- Yapay Zekâya Giriş. Erhan AKDOĞAN, Ph.D.

Mekatronik Mühendisliği Uygulamalarında Yapay Zekâ. Ders 1- Yapay Zekâya Giriş. Erhan AKDOĞAN, Ph.D. Mekatronik Mühendisliği Uygulamalarında Yapay Zekâ Ders 1- Yapay Zekâya Giriş Erhan AKDOĞAN, Ph.D. Yapay Zekâ nedir?! İnsanın düşünme ve karar verme yeteneğini bilgisayarlar aracılığı ile taklit etmeye

Detaylı

ĠġLEMSEL KUVVETLENDĠRĠCĠLERĠN DOĞRUSAL UYGULAMALARI. NOT: Devre elemanlarınızın yanma ihtimallerine karşın yedeklerini de temin ediniz.

ĠġLEMSEL KUVVETLENDĠRĠCĠLERĠN DOĞRUSAL UYGULAMALARI. NOT: Devre elemanlarınızın yanma ihtimallerine karşın yedeklerini de temin ediniz. Deneyin Amacı: Kullanılacak Materyaller: ĠġLEMSEL KUVVETLENDĠRĠCĠLERĠN DOĞRUSAL UYGULAMALARI LM 741 entegresi x 1 adet 22kΩ x 1 adet 10nF x 1 adet 5.1 V Zener Diyot(1N4655) x 1 adet 100kΩ potansiyometre

Detaylı

Cihazın Bulunduğu Yer: Enerji Sistemleri Mühendisliği Bölümü B-Blok, Enerji Verimliliği Laboratuvarı

Cihazın Bulunduğu Yer: Enerji Sistemleri Mühendisliği Bölümü B-Blok, Enerji Verimliliği Laboratuvarı Ölçüm Cihazının Adı: Enerji Analizörü Cihazın Bulunduğu Yer: Enerji Sistemleri Mühendisliği Bölümü B-Blok, Enerji Verimliliği Laboratuvarı 1) Ölçümün Amacı Amaç; şebeke ya da cihazların(motor barındıran

Detaylı

YÜKSEK LİSANS TEZİ Elk. Müh. Fatih KÜÇÜKTEZCAN. Anabilim Dalı : ELEKTRİK MÜHENDİSLİĞİ. Programı : ELEKTRİK MÜHENDİSLİĞİ

YÜKSEK LİSANS TEZİ Elk. Müh. Fatih KÜÇÜKTEZCAN. Anabilim Dalı : ELEKTRİK MÜHENDİSLİĞİ. Programı : ELEKTRİK MÜHENDİSLİĞİ İSTANBUL TEKNİK ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ GENETİK ALGORİTMA İLE OPTİMİZE EDİLMİŞ BULANIK GÜÇ SİSTEMİ KARARLI KILICISININ SİSTEM KARARLILIĞINA ETKİSİ YÜKSEK LİSANS TEZİ Elk. Müh. Fatih KÜÇÜKTEZCAN

Detaylı

ELEKTRİK ENERJİ SİSTEMLERİNDE OLUŞAN HARMONİKLERİN FİLTRELENMESİNİN BİLGİSAYAR DESTEKLİ MODELLENMESİ VE SİMÜLASYONU

ELEKTRİK ENERJİ SİSTEMLERİNDE OLUŞAN HARMONİKLERİN FİLTRELENMESİNİN BİLGİSAYAR DESTEKLİ MODELLENMESİ VE SİMÜLASYONU T.C. MARMARA ÜNİVERSİTESİ FEN BİLİMLERİ ENSTİTÜSÜ ELEKTRİK ENERJİ SİSTEMLERİNDE OLUŞAN HARMONİKLERİN FİLTRELENMESİNİN BİLGİSAYAR DESTEKLİ MODELLENMESİ VE SİMÜLASYONU Mehmet SUCU (Teknik Öğretmen, BSc.)

Detaylı

1. DENEY ADI: Rezonans Deneyi. analitik olarak bulmak denir. Serbestlik Derecesi: Genlik: Periyot: Frekans: Harmonik Hareket:

1. DENEY ADI: Rezonans Deneyi. analitik olarak bulmak denir. Serbestlik Derecesi: Genlik: Periyot: Frekans: Harmonik Hareket: 1. DENEY ADI: Rezonans Deneyi 2. analitik olarak bulmak. 3. 3.1. denir. Serbestlik Derecesi: Genlik: Periyot: Frekans: Harmonik Hareket: Harmonik Hareket Rezonans: Bu olaya rezonans denir, sistem için

Detaylı

A.Ü. GAMA MYO. Elektrik ve Enerji Bölümü GÜÇ ELEKTRONİĞİ 9. HAFTA

A.Ü. GAMA MYO. Elektrik ve Enerji Bölümü GÜÇ ELEKTRONİĞİ 9. HAFTA A.Ü. GAMA MYO. Elektrik ve Enerji Bölümü GÜÇ ELEKTRONİĞİ 9. HAFTA 1 İçindekiler DC/AC İnvertör Devreleri 2 Güç elektroniğinin temel devrelerinden sonuncusu olan Đnvertörler, herhangi bir DC kaynaktan aldığı

Detaylı

Küçük sinyal analizi transistörü AC domende temsilş etmek için kullanılan modelleri içerir.

Küçük sinyal analizi transistörü AC domende temsilş etmek için kullanılan modelleri içerir. Küçük Sinyal Analizi Küçük sinyal analizi transistörü AC domende temsilş etmek için kullanılan modelleri içerir. 1. Karma (hibrid) model 2. r e model Üretici firmalar bilgi sayfalarında belirli bir çalışma

Detaylı

BULANIK MANTIK KONTROLLÜ STATİK SENKRON KOMPANZATÖRLERİN TASARIMI VE UYGULAMASI

BULANIK MANTIK KONTROLLÜ STATİK SENKRON KOMPANZATÖRLERİN TASARIMI VE UYGULAMASI BULANIK MANTIK KONTROLLÜ STATİK SENKRON KOMPANZATÖRLERİN TASARIMI VE UYGULAMASI F. Arzu Aksoy 1 Tankut Yalçınöz 2 1,2 Elektrik-Elektronik Mühendisliği Bölümü, Niğde Üniversitesi, Niğde 1 e-posta: farzukorkmaz@hotmail.com

Detaylı

OTOMATİK KONTROL. Set noktası (Hedef) + Kontrol edici. Son kontrol elemanı PROSES. Dönüştürücü. Ölçüm elemanı

OTOMATİK KONTROL. Set noktası (Hedef) + Kontrol edici. Son kontrol elemanı PROSES. Dönüştürücü. Ölçüm elemanı OTOMATİK KONTROL Set noktası (Hedef) + - Kontrol edici Dönüştürücü Son kontrol elemanı PROSES Ölçüm elemanı Dönüştürücü Geri Beslemeli( feedback) Kontrol Sistemi Kapalı Devre Blok Diyagramı SON KONTROL

Detaylı

MAK 210 SAYISAL ANALİZ

MAK 210 SAYISAL ANALİZ MAK 210 SAYISAL ANALİZ BÖLÜM 5- SONLU FARKLAR VE İNTERPOLASYON TEKNİKLERİ Doç. Dr. Ali Rıza YILDIZ MAK 210 - Sayısal Analiz 1 İNTERPOLASYON Tablo halinde verilen hassas sayısal değerler veya ayrık noktalardan

Detaylı

ÜRETĠCĠLERDEN REAKTĠF GÜÇ DESTEĞĠ SAĞLANMASI ve GERĠLĠM KONTROLU

ÜRETĠCĠLERDEN REAKTĠF GÜÇ DESTEĞĠ SAĞLANMASI ve GERĠLĠM KONTROLU ÜRETĠCĠLERDEN REAKTĠF GÜÇ DESTEĞĠ SAĞLANMASI ve GERĠLĠM KONTROLU BÖLGESEL YÜKTEVZĠ MERKEZLERĠ ve ELEKTRĠK ÜRETĠCĠLERĠ ni BĠLGĠLENDĠRME TOPLANTISI 13-14.12.2010 Milli Yüktevzi Merkezi, Ankara Oğuz YILMAZ

Detaylı

OTOMOBİLLER İÇİN BULANIK MANTIK TABANLI HIZ SABİTLEYİCİ BİR SİSTEM

OTOMOBİLLER İÇİN BULANIK MANTIK TABANLI HIZ SABİTLEYİCİ BİR SİSTEM ASYU 2008 Akıllı Sistemlerde Yenilikler ve Uygulamaları Sempozyumu OTOMOBİLLER İÇİN BULANIK MANTIK TABANLI HIZ SABİTLEYİCİ BİR SİSTEM Kenan YANMAZ 1 İsmail H. ALTAŞ 2 Onur Ö. MENGİ 3 1,3 Meslek Yüksekokulu

Detaylı

BLM1612 DEVRE TEORİSİ

BLM1612 DEVRE TEORİSİ BLM1612 DEVRE TEORİSİ KAPASİTÖRLER ve ENDÜKTANSLAR DR. GÖRKEM SERBES Kapasitans Kapasitör, elektrik geçirgenliği ε olan dielektrik bir malzeme ile ayrılan iki iletken gövdeden oluşur ve elektrik alanda

Detaylı

Genetik Algoritmalar. Bölüm 1. Optimizasyon. Yrd. Doç. Dr. Adem Tuncer E-posta:

Genetik Algoritmalar. Bölüm 1. Optimizasyon. Yrd. Doç. Dr. Adem Tuncer E-posta: Genetik Algoritmalar Bölüm 1 Optimizasyon Yrd. Doç. Dr. Adem Tuncer E-posta: adem.tuncer@yalova.edu.tr Optimizasyon? Optimizasyon Nedir? Eldeki kısıtlı kaynakları en iyi biçimde kullanmak olarak tanımlanabilir.

Detaylı

AA Motorlarında Yol Verme, Motor Seçimi Yrd. Doç. Dr. Aytaç Gören

AA Motorlarında Yol Verme, Motor Seçimi Yrd. Doç. Dr. Aytaç Gören 04.12.2011 AA Motorlarında Yol Verme, Motor Seçimi Yrd. Doç. Dr. Aytaç Gören İçerik AA Motorlarının Kumanda Teknikleri Kumanda Elemanları na Yol Verme Uygulama Soruları 25.11.2011 2 http://people.deu.edu.tr/aytac.goren

Detaylı

GERİLİM REGÜLATÖRLERİ DENEYİ

GERİLİM REGÜLATÖRLERİ DENEYİ GERİLİM REGÜLATÖRLERİ DENEYİ Regüleli Güç Kaynakları Elektronik cihazlar harcadıkları güçlere göre farklı akımlara ihtiyaç duyarlar. Örneğin; bir radyo veya amplifikatörün hoparlöründen duyulan ses şiddetine

Detaylı

Ege Üniversitesi Elektrik Elektronik Mühendisliği Bölümü Kontrol Sistemleri II Dersi

Ege Üniversitesi Elektrik Elektronik Mühendisliği Bölümü Kontrol Sistemleri II Dersi 1) Giriş Ege Üniversitesi Elektrik Elektronik Mühendisliği Bölümü Kontrol Sistemleri II Dersi Pendulum Deneyi.../../2015 Bu deneyde amaç Linear Quadratic Regulator (LQR) ile döner ters sarkaç (rotary inverted

Detaylı

BİR SOĞUTMA GRUBUNDA KOMPRESÖR HIZININ BULANIK MANTIK ALGORİTMA İLE KONTROLÜ

BİR SOĞUTMA GRUBUNDA KOMPRESÖR HIZININ BULANIK MANTIK ALGORİTMA İLE KONTROLÜ BİR SOĞUTMA GRUBUNDA KOMPRESÖR HIZININ BULANIK MANTIK ALGORİTMA İLE KONTROLÜ Öğr. Gör. Orhan EKREN Ege Üniversitesi Doç. Dr. Serhan KÜÇÜKA Dokuz Eylül Üniversitesi SUNUM İÇERİĞİ ÇALIŞMANIN AMACI DENEY

Detaylı

OTOMATİK KONTROL SİSTEMLERİ BLOK DİYAGRAM İNDİRGEME KURALLARI

OTOMATİK KONTROL SİSTEMLERİ BLOK DİYAGRAM İNDİRGEME KURALLARI OTOMATİK KONTROL SİSTEMLERİ BLOK DİYAGRAM İNDİRGEME KURALLARI BLOK DİYAGRAM İNDİRGEME KURALLARI Örnek 9: Aşağıdaki açık çevrim blok diyagramının transfer fonksiyonunu bulunuz? 2 BLOK DİYAGRAM İNDİRGEME

Detaylı

KOCAELİ ÜNİVERSİTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ ELEKTRONİK LAB 1 DERSİ İŞLEMSEL KUVVETLENDİRİCİ - 2 DENEYİ

KOCAELİ ÜNİVERSİTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ ELEKTRONİK LAB 1 DERSİ İŞLEMSEL KUVVETLENDİRİCİ - 2 DENEYİ KOCAELİ ÜNİVERSİTESİ ELEKTRONİK VE HABERLEŞME MÜHENDİSLİĞİ ELEKTRONİK LAB 1 DERSİ İŞLEMSEL KUVVETLENDİRİCİ - 2 DENEYİ Amaç: Bu deneyde terslemeyen kuvvetlendirici, toplayıcı kuvvetlendirici ve karşılaştırıcı

Detaylı

Sistem Dinamiği. Bölüm 5-Blok Diyagramlar, Durum-Değişken Modelleri ve Simülasyon Metodları. Doç.Dr. Erhan AKDOĞAN

Sistem Dinamiği. Bölüm 5-Blok Diyagramlar, Durum-Değişken Modelleri ve Simülasyon Metodları. Doç.Dr. Erhan AKDOĞAN Sistem Dinamiği Bölüm 5-Blok Diyagramlar, Durum-Değişken Modelleri ve Simülasyon Metodları Sunumlarda kullanılan semboller: El notlarına bkz. Yorum Soru MATLAB Bolum No.Alt Başlık No.Denklem Sıra No Denklem

Detaylı

Electronic Letters on Science & Engineering 3(1) (2007) Available online at www.e-lse.org

Electronic Letters on Science & Engineering 3(1) (2007) Available online at www.e-lse.org Electronic Letters on Science & Engineering 3(1) (2007) Available online at www.e-lse.org Fuzzy and Adaptive Neural Fuzzy Control of Compound Pendulum Angle Ahmet Küçüker 1,Mustafa Rüzgar 1 1 Sakarya University,

Detaylı

Esnek Hesaplamaya Giriş

Esnek Hesaplamaya Giriş Esnek Hesaplamaya Giriş J E O L O J İ M Ü H E N D İ S L İ Ğ İ A. B. D. E S N E K H E S A P L A M A Y Ö N T E M L E R İ - I DOÇ. DR. ERSAN KABALCI Esnek Hesaplama Nedir? Esnek hesaplamanın temelinde yatan

Detaylı

Avf = 1 / 1 + βa. Yeterli kazanca sahip amplifikatör βa 1 şartını sağlamalıdır.

Avf = 1 / 1 + βa. Yeterli kazanca sahip amplifikatör βa 1 şartını sağlamalıdır. Karadeniz Teknik Üniversitesi Elektrik-Elektronik Mühendisliği Bölümü Elektronik Lab. 2 OSİLATÖRLER 1. Ön Bilgiler 1.1 Osilatör Osilatörler DC güç kaynağındaki elektrik enerjisini AC elektrik enerjisine

Detaylı

BÖLÜM X OSİLATÖRLER. e b Yükselteç. Be o Geri Besleme. Şekil 10.1 Yükselteçlerde geri besleme

BÖLÜM X OSİLATÖRLER. e b Yükselteç. Be o Geri Besleme. Şekil 10.1 Yükselteçlerde geri besleme BÖLÜM X OSİLATÖRLER 0. OSİLATÖRE GİRİŞ Kendi kendine sinyal üreten devrelere osilatör denir. Böyle devrelere dışarıdan herhangi bir sinyal uygulanmaz. Çıkışlarında sinüsoidal, kare, dikdörtgen ve testere

Detaylı